Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
Enabled Scaling Capability with Self-aligned Multiple patterning process
Hidetami YaegashiKenichi OyamaShoichi YamauchiArisa HaraSakurako NatoriMasatoshi Yamato
Author information
JOURNAL FREE ACCESS

2014 Volume 27 Issue 4 Pages 491-496

Details
Abstract

One of most promising technique for the extension of 193nm immersion lithography must be Self-Aligned Multiple Patterning (SAMP) at the present. We have studied this SAMP in several aspects, which are scaling capability, mitigation of process complexity, pattern fidelity, affordability and so on. On the other hand, Gridded Design Rule (GDR) concept with Single directional layout (1D layout) extended the down-scaling with 193-immersion furthermore and relieve the process variation and process complexity, represented in Optical proximity effect (OPE), by simplification of layout design. In 1D layout fabrication, key process steps might be edge placement control on grating line and controllability of hole-shrink technique for line-cutting. This paper introduces current demonstration results on pattern transfer fidelity control and hole-shrink technique as combined with unique pattern shape repair approach.

Content from these authors
© 2014 The Society of Photopolymer Science and Technology (SPST)
Previous article Next article
feedback
Top