IEICE Transactions on Information and Systems
Online ISSN : 1745-1361
Print ISSN : 0916-8532
Regular Section
Architecture and Implementation of a Reduced EPIC Processor
Jun GAOMinxuan ZHANGZuocheng XINGChaochao FENG
Author information
JOURNAL FREE ACCESS

2013 Volume E96.D Issue 1 Pages 9-18

Details
Abstract

This paper proposes a Reduced Explicitly Parallel Instruction Computing Processor (REPICP) which is an independently designed, 64-bit, general-purpose microprocessor. The REPICP based on EPIC architecture overcomes the disadvantages of hardware-based superscalar and software-based Very Long Instruction Word (VLIW) and utilizes the cooperation of compiler and hardware to enhance Instruction-Level Parallelism (ILP). In REPICP, we propose the Optimized Lock-Step execution Model (OLSM) and instruction control pipeline method. We also propose reduced innovative methods to optimize the design. The REPICP is fabricated in Artisan 0.13µm Nominal 1P8M process with 57M transistors. The die size of the REPICP is 100mm2 (10×10), and consumes only 12W power when running at 300MHz.

Content from these authors
© 2013 The Institute of Electronics, Information and Communication Engineers
Previous article Next article
feedback
Top