Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
11 巻, 4 号
選択された号の論文の22件中1~22を表示しています
  • Toshio Sakamizu, Tadasi Arai, Kohji Katoh, Shou-ichi Uchino, Fumio Mur ...
    1998 年 11 巻 4 号 p. 547-552
    発行日: 1998年
    公開日: 2006/08/04
    ジャーナル フリー
    The effect of m/p-cresol novolak molecular-weight-distribution (MWD) and dissolution inhibitor structure on resist performance were investigated. A novolak resin richer in p-cresol ratio gave a large dissolution inhibition capability of polymeric dissolution inhibitor, tetrahydropyranyl (THP) protected-polymeric dissolution inhibitor. In particular, a high molecular-weight novolak resin richer in p-cresol ratio was regarded as an effective matrix of a chemical amplification (CA) positive resist. THP protected phenolic compounds with extended backbone structures showed a large dissolution inhibition. The resist with MWD controlled resin and a THP-protected phenolic compound can achieve high resolution patterns (100-nm contact holes ) with high sensitivity (6.0μC/cm2).
  • Naoko Kihara, Satoshi Saito, Tohru Ushirogouchi, Makoto Nakase
    1998 年 11 巻 4 号 p. 553-554
    発行日: 1998年
    公開日: 2006/08/04
    ジャーナル フリー
  • Shou-ichi Uchino, Jiro Yamamoto, Sonoko Migitaka, Kyoko Kojima, Michia ...
    1998 年 11 巻 4 号 p. 555-563
    発行日: 1998年
    公開日: 2006/08/04
    ジャーナル フリー
    Negative electron beam resists composed of a phenylcarbinol, poly(4-hydroxystyrene) (PHS), and an onium salt have been developed to define patterns below 100-nm. Five phenylcarbinols were evaluated as a precursor of a dissolution inhibitor (PDI) and a water generator (WAG) which induces anisotropic acid-diffusion. 1, 3, 5-tris(2-(2- hydroxypropyl))benzene (Triol(3)) was found to be the best PDI and WAG among the phenylcarbinols evaluated. Acid-diffusion measurement in a resist film containing Triol(3) clearly shows that the acid-diffusion coefficient in the exposed region is larger than that of the unexposed region. A resist consisting of PHS, Triol(3), and diphenyliodonium triflate (DIT) exhibits enough resolution (80-nm L&S), sensitivity (5-μC/cm2 at 50kV), and critical dimension control to define 100-nm L&S patterns. Spectroscopic studies indicate that the acid-catalyzed O-alkylation of PHS hydroxyl groups by Triol(3) is responsible for the resist insolubilization.
  • Katsuhiko Murakami, Tetsuya Oshino, Hiroo Kinoshita, Takeo Watanabe, M ...
    1998 年 11 巻 4 号 p. 565-570
    発行日: 1998年
    公開日: 2006/08/04
    ジャーナル フリー
    Extreme ultraviolet lithography (EUVL) is an candidate for lithography technology to be used for the fabrication of the future-generation semiconductor devices with the design rule of 100nm and below. Till date few extreme ultraviolet (EUV) exposure experiments, which demonstrate a high resolution of less than 100nm using small field optics, have been performed. However, such a high resolution in the case of practical large-field exposure has not yet been reported. We designed a 3-mirror ring-field projection optics, which enabled large-field exposure. An EUV exposure experiment will be performed using an EUVL beam line to be onstructed at the new synchrotron ring named New Subaru, which is now under construction by Hyogo Prefecture. In this paper the details of the EUV exposure tool will be described.
  • Jiro Nakamura, Kimiyoshi Deguchi, Hiroshi Ban
    1998 年 11 巻 4 号 p. 571-576
    発行日: 1998年
    公開日: 2006/08/04
    ジャーナル フリー
    One of the most probable causes for the surface roughness on resist patterns is the locally ununiform distribution of photochemical events. This ununiformity arises because the photochemical events occur with a probability density as a function of illumination. This inevitable roughness is estimated by applying the percolation theory in the evaluation of the cross-linking reactions in negative resists. The calculation demonstrates that nanometer-scale roughness is formed at the surface of resist, and is larger in a chemically amplified resist than that in a conventional resist. The surface morphology of chemically amplified resists exposed to X-rays is also experimentally evaluated using atomic force microscopy.
  • Proton Generation Mechanisms
    Seiji Nagahara, Takahiro Kozawa, Yukio Yamamoto, Seiichi Tagawa
    1998 年 11 巻 4 号 p. 577-580
    発行日: 1998年
    公開日: 2006/08/04
    ジャーナル フリー
  • Tetsuya Tada, Toshihiko Kanayama, A.P.G. Robinson, R.E. Palmer, J.A. P ...
    1998 年 11 巻 4 号 p. 581-584
    発行日: 1998年
    公開日: 2006/08/04
    ジャーナル フリー
    We have found that chemical modification of C60 to the methanofullerenes improves the properties as an electron beam resist. Several types of methanofullerenes exhibit good resolution and high dry-etch durability, and the films can he prepared by spin coating. Thus they can be used for nanometer scale patterning. The sensitivity is -1×10-3C/cm2, an order of magnitude higher than that of C60. The performance of the resists was demonstrated by defining 20nm features. The results of Raman measurements suggest that the exposure mechanism may be due to destruction of the C60 cage by electron irradiation.
    We have shown that the chemical modification of C60 molecules dramatically improves the properties as a negative e-beam resist. The methanofullerene resists can be prepared by spin coating and their sensitivity is -10-3C/cm2, an order of magnitude higher than C60 itself. These resists exhibit good resolution and high dry-etch durability. The performance of this resist was demonstrated by defining 20nm lines. It was also shown that the exposure mechanism seems to be the fragmentation by e-beam irradiation.
  • Shinji Ogawa, Girish J. Phatak, Shinzo Morita
    1998 年 11 巻 4 号 p. 585-588
    発行日: 1998年
    公開日: 2006/08/04
    ジャーナル フリー
    Lithography using Langmuir-Blodgett (LB) E-beam resist and E-beam excited plasma (EBEP) etching is proposed to realize a fine pattern smaller that 100nm. 20 monolayer thick arachidic acid (CH3(CH2)18COOH) films have been formed using LB technique. These films are exposed to E-beam at 50kV for doses from 2000μC/cm2 to 22000μC/cm2. The as-exposed films are observed by SEM and AFM. The negative pattern at 2000μC/cm2 was reversed to a positive pattern at 22000μC/cm2. The pattern reversal phenomena are discussed.
  • J. Pablo Bravo-Vasquez, L. W. Celia Ching, W. L. Law, Ross H. Hill
    1998 年 11 巻 4 号 p. 589-596
    発行日: 1998年
    公開日: 2006/08/04
    ジャーナル フリー
    Department of Chemistry, Simon Fraser University, Burnaby, British Columbia, Canada, V5A 1S6 The photochemistry of thin films of Ln(O2CCH(C2H5)C4H9) 3 (Ln=La, Dy, Gd, Eu) are investigated as a means to deposit patterned films of the metal oxides of the lanthanides. The films are photosensitive, generating carbon dioxide, heptyl radical and the lanthanide. The lanthanide reacts with oxygen from the air to yield the metal oxide. Two heptyl radicals undergo a hydrogen transfer reaction to yield heptane and heptene. The quantum yields are high and may indicate a chain process. A possible mechanism for the chain process is presented. The use of this method to deposit patterned films is demonstrated by the fabrication of 1.5 μm wide lines by contact mask lithography.
  • Johan Vertommen, Walter Klippert, Anne-Marie Goethals, Frieda van Roey
    1998 年 11 巻 4 号 p. 597-612
    発行日: 1998年
    公開日: 2006/08/04
    ジャーナル フリー
    This paper describes silylation and dry development research on 193nm NTS4 and 248nm PlasmaskTM 305u resists. In the first section we performed a statistically designed set of experiments on 248nm exposed PlasmaskTM 305U resist, silylated with TMDS and dry developed with an O2/SO2 plasma. The effects of some of the important dry development parameters such as TCP power, Bias power and SO2 and O2 gas flows on etch rate, uniformity, etch anisotropy, profile, line edge roughness (LER) and several lithographic parameters are described and quantified. Trends for the effects of temperature and pressure are also presented. In a second set of experiments these Plasmask/TMDS trends are applied to the process optimization for 0.12 and 0.13μm lines and spaces on NTS4 resist exposed with 193nm radiation and silylated with DMSDMA. The effects of a plasma bake step and an improved breakthrough step on the LER of the NTS4 process are also demonstrated for sub-0.15μm structures. In both sets of experiments the silylation and the dry development are carried out in a cluster tool consisting of a silylation prototype module and a Lam TCPTM 9400SE Alliance etcher. The resulting features of an optimized dry development process are presented in Figure 14.
  • Shigeyasu Mori, Nobuyuki Matsuzawa, Yuko Kaimoto, Masayuki Endo, Takah ...
    1998 年 11 巻 4 号 p. 613-618
    発行日: 1998年
    公開日: 2006/08/04
    ジャーナル フリー
    A positive high photo-speed top surface imaging (TSI) process for 193-nm lithography has been achieved by incorporation a chemically amplified resist consisting of photo-acid generator (PAG), cross-linker, and base polymer in the process. We found that we have to use both a chemically amplified resist with a PAG which generates acids having higher molecular weights, and a base polymer having a higher molecular weight. The photo-speed strongly depends on the post exposure bake (PEB) temperature. A required photo-speed of <5.0mJ/cm2 was obtained with PEB at 130°C under this process. We were unable to observe the trade-off between line edge roughness (LER) and photo-speed. The process achieves a resolution of 0.12μmL/S without the use of any resolution enhanced technique (RET), and 0.09μmL/S when an alternative phase shifting mask is used The process margin has a depth of focus of 0.5μm for 0.12μmL/S without RET and 0.7μm for 0.09 μmL/S with the alternative phase shifting mask. Sub-0.10-μm patterns were produced by using this TSI process for 193-nm lithography.
  • D.W. Johnson, D. Minsek, S. Dionisio, C.E. Crowley, G.J. Cernigliaro
    1998 年 11 巻 4 号 p. 619-623
    発行日: 1998年
    公開日: 2006/08/04
    ジャーナル フリー
    This paper highlights the latest results from ongoing 193nm thin film imaging (TFI) programs, as well as some recent results from the MicroChem Corp. 193 TSI program1. Recently, top surface imaging strategies have been augmented with bilayer2-4 imaging approaches employing silicon-based materials either liquid or vapor deposited over thick phenolic polymer matrices. These newer approaches have been investigated in part because of poor photosensitivity, low silylation contrast, unacceptable line edge roughness (LER) and process performance reliability issues associated with present TSI techniques7.Chemically amplified approaches, while providing acceptable photosensitivity, have also shown increased LER relative to non-chemically amplified single component resists7. While silylation contrast has been implicated in LER, it was found in one study8 that all TFI approaches, even trilayer processes using near-ideal SiO2 masking, gives some process induced LER. Consequently, LER is thought to result from a variety of mechanisms, depending on the TFI process employed, and that specific process optimization is needed to minimize LER magnitude8. MCC offers a simple, non-chemically amplified TSI approach with NANOTM MX-P7, a single component photoresist giving etched wall profiles generally superior in edge smoothness to those produced from chemically amplified TFI techniques. Although this PHS-based resist has demonstrated the best LER performance for TSI thus far, its photosensitivity is -5-10 fold slower than desired. In an effort to understand and correct this deficiency, and as part of our ongoing work1, we have continued to characterize substituent effects for varying chemical functionality appended to PHS. Results reported here, for three such modified PHS derivatives using standard vapor phase silylation conditions, show 3-4 fold photosensitivity improvements when compared with similarly processed reference MX-P7 resist. Thin film imaging, and TSI in particular, was also highlighted as a route to successful 157nm and EUV imaging, in a variety of papers9-11
  • Nobuyuki N. Matsuzawa, Shigeyasu Mori, Masayuki Endo, Taku Morisawa, Y ...
    1998 年 11 巻 4 号 p. 625-632
    発行日: 1998年
    公開日: 2006/08/04
    ジャーナル フリー
    Molecular orbital calculations at the MP-2 (the second-order Møller-Plesset perturbation theory) level predict that the activation energy of silylation at the alcohol is 22.1kcal/mol when dimethylsilyldimethylamine (DMSDMA) is used as a silylation agent. This value is in good agreement with the value of 25.0kcal/mol obtained from an Arrhenius plot for the silylation of polyvinylalcohol (PVA) with DMSDMA. ArF flood-exposure measurements also show that PVA exhibits negative-tone lithographic performance when it is used in the silylation process. Taking advantage of the nonlinearity of the Arrhenius plot should make it possible to enhance sensitivity without using chemical amplification.
  • Yuko Kaimoto, Shigeyasu Mori, Nobuyuki Matsuzawa, Koichi Kuhara, Masar ...
    1998 年 11 巻 4 号 p. 633-639
    発行日: 1998年
    公開日: 2006/08/04
    ジャーナル フリー
    Negative top surface Imaging process, especially those using polymethacrylate which photogenerates carboxylic acids in chemically amplified systems[1-2], has not been well studied because its silylation is less than that for vinylphenol and its stability is inferior for carboxylic acids [3]. We studied how to improve the stability of the silylayion for carboxylic acids. We investigated and analyzed the reactivity and stability of the silylation for carboxylic acids. The results showed that the activation energy of the silylation for carboxylic acids was low. We applied the silylation agents which have higher activation energy to the silylation for carboxylic acids because the low activation energy leads to the inferior stability. We also found that the reactivity and the stability dependedon the polymer glass transition temperature (Tg). The lower Tg polymers were silylated more easily, but they were also desilylated more easily. We selected alicyclic polymers which have a high Tg and applied the polymers to the silylation for carboxylic acids. The desilylation could also be improved by using the alicyclic polymers.
    The carboxylic acids were silylated using a resist which contains polyadamantylmethacrylate-tert-butylmethacrylate (AdMA-tBuMA) and trimethylsilyldimethylamine (TMSDMA) as a silylation agent.
    We succeeded in producing the stable silylation process in which there is only 3% desilylation even after 1 day of the silylation process. 0.13μm line-and-space patterns also were obtained using this process.
  • Masamitsu Shirai, Junji Nakanishi, Masahiro Tsunooka, Takahiro Matsuo, ...
    1998 年 11 巻 4 号 p. 641-644
    発行日: 1998年
    公開日: 2006/08/04
    ジャーナル フリー
  • Takahiro Matsuo, Masayuki Endo, Shigeyasu Mori, Koichi Kuhara, Masaru ...
    1998 年 11 巻 4 号 p. 645-650
    発行日: 1998年
    公開日: 2006/08/04
    ジャーナル フリー
    Surface modification resist process (SMR), which gives negative-tone image owing to the polysiloxane formation on exposed resist surface using chemical vapor deposition (CVD), was studied for ArF excimer laser lithography. The selective polysiloxane formation is based on the hycbolysis of alkoxysilane and subsequent condensation in the presence of a photo-induced acid catalyst and sorbed water. We have developed the photoacid generating polymer with a polarity change unit for 0.13μm pattern fabrication. We solved a pattern degradation problem in the dry development by introchcing the thermally stable alicyclic unit to this polymer system, and achieved 0.13μm patterns with steep wall profile. Furthermore, we investigated the effect of the water vapor treatment, by which the water was sorbed in the exposed region before CVD. The resolution was improved by adjusting the water vapor treatment time. In the case of too long treatment time, the resolution was degraded by the diffusion of the sorbed water into the unexposed region.
  • Gary Dabbagh, Richard S. Hutton, Raymond A. Cirelli, Anthony E. Novemb ...
    1998 年 11 巻 4 号 p. 651-661
    発行日: 1998年
    公開日: 2006/08/04
    ジャーナル フリー
    In this paper we report the results of a feasibility study of manufacturability of processes using plasma polymerized methylsilane (PPMS) as both a single-layer and a bilayer resist in both negative and positive tone development modes. PPMS has shown great promise as the basis for an all dry lithographic process. The photosensitive polymer is deposited in a low power plasma of methylsilane gas and is comprised of a Si-Si bonded network. PPMS undergoes efficient photo-oxidative crosslinking upon exposure to 248 or 193nm light in air to form an oxide-like material (PPMSO). We have identified several structural differences of films deposited at different temperatures and have correlated those differences to the air stability and the photosensitivity of PPMS films. We have also examined the effect of laser pulse power and repetition rate on the efficiency of exposure and conclude that the rate of oxygen diffusion into the film limits the effectiveness of high laser power for increasing the throughput of an exposure tool. We have concluded that positive tone PPMS processing is not practical because of the slow diffusion of oxygen through the film, required for effective photo-oxidation of PPMS to occur.
  • Yoichi Namba, Hiroshi Takahashi
    1998 年 11 巻 4 号 p. 663-666
    発行日: 1998年
    公開日: 2006/08/04
    ジャーナル フリー
    A new positive-type chemically amplified Si-contained resist, which consist of polysilsesquioxane and methacrylate copolymer has been developed for ArF excimer laser lithography. The resist which was developed in 2.38% TMAH(tetramethylammonium hydroxide) has a high sensitivity(5mJ/cm2), good O2-etching resistance (selectivity>10) and showed excellent resolution. When examined in the bi-layer resist process(BLR), well-defined sub 160nm patterns were obtained.
  • Taku Morisawa, Nobuyuki N. Matsuzawa, Sigeyasu Mori, Yuko Kaimoto, Mas ...
    1998 年 11 巻 4 号 p. 667-672
    発行日: 1998年
    公開日: 2006/08/04
    ジャーナル フリー
    We evaluated three chemically amplified positive-tone resists for ArF bilayer process, which are mainly based on cyclo-hexyl-carboxylic-acid-silsesquioxane. The key of obtaining the good dissolution contrast is the improvement of resistance to aqueous base developer. The optimized resist had an excellent resolution capability of K1=0.404. It had a permissive sensitivity of 13mJ/cm2. The line edge roughness (LER) of the bilayer resist patterns was as good as conventional organic resist. The LER of bilayer pattern mainly depend on the roughness of upperlayer Si-containing resist pattern, . that was shown by the LER measurements before and after dry-development process.
  • G. M. Wallraff, C. E. Larson, R. Sooriyakumaran, J. Opitz, D. Fenzel-A ...
    1998 年 11 巻 4 号 p. 673-679
    発行日: 1998年
    公開日: 2006/08/04
    ジャーナル フリー
    Thin film imaging resists (TSI and Bilayer systems) confine the imaging to a thin resist film (in the case of a bilayer system) which is subsequently transferred to a thicker polymeric underlayer. This approach has a number of potential advantages including increased ability to print high aspect ratios at small feature sizes, better resolution at a given depth of focus (DQF), and minimization of resist substrate interactions including resist "footing, " standing wave formation and reflective notching caused by topography. Continued progress in single layer resist technology has been able to meet the current manufacturing requirements and the more complex TSI approaches have not yet been required. However, the requirements for imaging features below 0.18 microns, the desire to extend high NA 248nm exposure technology and anticipated shift to 193nm exposure tools has led to renewed interest in thin film imaging approaches. In this report we will describe new chemistry developed for bi layer resist systems for use at 248 nm in both positive and negative tone.
  • Yasubumi Sakakibara
    1998 年 11 巻 4 号 p. 681-686
    発行日: 1998年
    公開日: 2006/08/04
    ジャーナル フリー
    Since the Adleman's seminal paper [1] in Science reported that it has been succeeded to solve an instance of the directed Hamiltonian path problem solely by manipulating DNA sequences, the research on DNA computers has had much attentions. Currently, DNA computers and Quantum computers are investigated as a new challenge for breaking the limitations of existing computers. In this article, we first introduce the Adleman's experiment, and consider the DNA computer implied by his model. Next, we introduce some theoretical works for DNA computers, splicing systems introduced by Head. Then, we consider several goals of DNA computer research in the future.
  • Ralph R. Dammel
    1998 年 11 巻 4 号 p. 687-703
    発行日: 1998年
    公開日: 2006/08/04
    ジャーナル フリー
    This review looks at recent developments in optical resists, in particular resists for 365nm, 248nm and 193nm lithography. For the mature technology of the DNQ/novolak systems, emphasis is placed on the understanding of the physical/chemical mechanisms underlying the performance improvement seen in the last generations of i-line resists. For 248nm resists, a technology which has been used by a number of early adopters and which now is poised to enter the mass market, the emphasis is on the specific problems that accompany the use of the two main types of chemical amplification resists as they have to prove themselves in the production environment. Finally, for the new field 193nm resists, recent development are reviewed and assessed to show that the goal of a dry-etch stable single layer, wet developed resist is feasible.
feedback
Top