Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
13 巻, 4 号
選択された号の論文の25件中1~25を表示しています
  • Myoung-Soo Kim, Dong-Heok Park, Min-Sub Han, Hak-Joon Kim, Myung-Goon ...
    2000 年 13 巻 4 号 p. 497-502
    発行日: 2000年
    公開日: 2006/08/04
    ジャーナル フリー
    The method of electron beam stabilization has been applied for improving the etch selectivity of resist patterns having an aspect ratio of less than 3:1. With the application of electron beam stabilization, the Deep-UV photoresists based on the chemical structures of Acetal and ESCAP have been evaluated with respect to etch selectivity as a function of electron beam dose and etch condition. A resist etch rate reduction of 20 percent and 26 percent in a metal etch process, have been observed for the two types of resists, Acetal and ESCAP respectively, at 2000μC/cm2. The thermal and chemical properties were characterized before and after electron beam stabilization using DSC, TGA, and FT-IR. The cross-sectional views of resist patterns after electron beam processing were also investigated to understand the chemical stability of resist during the electron beam process. Based on the experimental results, the application of electron beam stabilization for real device fabrication below 0.14μm has been presented.
  • Yoshinori Hirano, Norihito Ohmori, Noriaki Okimoto, Masayuki Hata, Tyu ...
    2000 年 13 巻 4 号 p. 503-506
    発行日: 2000年
    公開日: 2006/08/04
    ジャーナル フリー
  • Murrae Bowden, Sanjay Malik, Larry Ferreira, Jeff Eisele, Allyn Whewel ...
    2000 年 13 巻 4 号 p. 507-512
    発行日: 2000年
    公開日: 2006/08/04
    ジャーナル フリー
    The outgassing issues that have long plagued conventional acetal resist designs are shown to be significantly mitigated depending on the structure of the acetal-blocked polymer. Resists formulated with acetal-blocked polymers based on low molecular weight vinyl ethers such as ethyl vinyl ether and t-butyl vinyl ether deblock during exposure resulting in considerable outgassing inside the stepper and attendant film shrinkage. By modifying the acetal structure, deblocking can be completely prevented during exposure, in which case the resist requires post-exposure baking to initiate the deblocking reaction as with conventional high activation energy systems. Such systems also show superior plasma etch resistance compared to conventional lower molecular weight acetals.
  • Sanjay Malik, Jeff Eisele, Allyn Whewell, Lawrence Ferreira, Timothy H ...
    2000 年 13 巻 4 号 p. 513-518
    発行日: 2000年
    公開日: 2006/08/04
    ジャーナル フリー
    Polymers based on tertiary-butyl acrylate were synthesized with different compositions and glass transition temperature (Tg) values. These polymers are formulated identically and exposed under identical optical conditions. Lithographically, these polymers responded differently to different post exposure bake temperature (TPEB) conditions. In general, resist contrast, photosensitivity and PED-sensitivity improved at TPEB above polymer-Tg. The resist resolving power improved as TPEB approaches polymer-Tg. However, loss of resolution is observed at TPEB above polymer-Tg. Also, high Tg polymers show greater PEB sensitivity than the corresponding low Tg-polymers.
  • Jun Hatakeyama, Shigehiro Nagura, Toshinobu Ishihara
    2000 年 13 巻 4 号 p. 519-524
    発行日: 2000年
    公開日: 2006/08/04
    ジャーナル フリー
    Discrimination enhancement of poly-hydroxystyrene based chemically amplified positive resists was investigated with acid and base neutralization model in Eq. 8. Relationship of deprotecting reactivity and discrimination property was reported in Fig. 7. Dissolution distribution in resist film depth was discussed to be important characteristic to fabricate fine sub-quarter micron pattern in Fig. 9.
  • M. C. Tai, M. C. Fang, J. F. Chang, T. Y. Lin, T. C. Liu, C. S. Chuang ...
    2000 年 13 巻 4 号 p. 525-529
    発行日: 2000年
    公開日: 2006/08/04
    ジャーナル フリー
    The bilayer process has been studied to extend the resolution limit down to 0.1μm or smaller features using 193nm wavelength light source. Two concepts are widely adopted for designing bilayer resist: (a)introduce silicon function group into top layer(photosensitive layer) and (b) reduce the aspect ratio of the top layer to enhance the resolution. This study investigated the lithography performerance of silicon containing polymers. The 0.15μm L/S pattern was resolved.
  • Masamitsu Shirai, Hideki Nakaseko, Masahiro Tsunooka
    2000 年 13 巻 4 号 p. 531-534
    発行日: 2000年
    公開日: 2006/08/04
    ジャーナル フリー
  • A New Simpler Alternative for Multilayer Resist System
    Kazuyuki Sugita, Masakuni Ikagawa, Liew Chan Ming, Masahiro Yamashita, ...
    2000 年 13 巻 4 号 p. 535-538
    発行日: 2000年
    公開日: 2006/08/04
    ジャーナル フリー
  • Cha-Won Koh, Ki-Ho Baik
    2000 年 13 巻 4 号 p. 539-544
    発行日: 2000年
    公開日: 2006/08/04
    ジャーナル フリー
    Application of atop-surface imaging(TSI) process by silylation to ArF lithography is desirable for ULSI production with minimum feature size for sub-120nm. We evaluated lithographic performances of non-chemically amplified positive TSI photoresist and chemically amplified negative TSI photoresist, both based on phenolic polymer. When off-axis illumination was used, 120nm and 110nm L/S patterns were obtained with non-chemically amplified resist(non-CAR) and chemically amplified resist(CAR) respectively. 100nm L/S patterns of CAR were obtained with strong off-axis illumination using binary intensity mask. CAR was superior to non-CAR in terms of lithographic performances, but inferior to non-CAR in terms of resist pattern collapse. Line edge roughness(LER) of CAR was sufficiently minimized by optimizing silylation bake temperature and it was comparable to that of single layer resist. For the prevention of resist pattern collapse in dry development process, the property of adhesion and resist rigidity is impor ant. This results can help the design of matrix resin of TSI resist for sub-100nm lithography.
  • Hiroyuki Watanabe, Isao Satou, Toshiro Itani
    2000 年 13 巻 4 号 p. 545-550
    発行日: 2000年
    公開日: 2006/08/04
    ジャーナル フリー
    We have been evaluating the bi-layer silylation process for 193-nm lithography as one of the top surface imaging (TSI) technologies to improve lithography performance. In this paper, we focus on the effect of process intervals for the bi-layer positive-tone silylation process. We found that the effect of the process interval between the post-exposure bake (PEB) and the silylation treatment was remarkable, but the effects of other process intervals were not serious in our evaluation. The critical dimension (CD) of L/S patterns was enlarged by the process interval after the PEB. However, by the application of a thermal treatment prior to the silylation treatment, we could control the CD fluctuations of the L/S patterns. Moreover, we found that pattern collapses were generated by the effect of corrosion after the dry-development. When we applied an optimized C2F6/O2 plasma treatment as a corrosion prevention treatment, we could obtain fine patterns after the dry-development. We confirmed the high reliability of this bi-layer silylation process for practical applications.
  • G.M. Wallraff, W.D. Hinsberg, P.J. Brock, G. McGall
    2000 年 13 巻 4 号 p. 551-558
    発行日: 2000年
    公開日: 2006/08/04
    ジャーナル フリー
    Miniaturized arrays of immobilized oligonucleotide probes have immense potential as tools for the rapid analysis of DNA sequences. There are a variety of techniques that can be used to fabricate these arrays including robotic spotting, ink-jet printing, and photolithographic patterning. In this report we will provide an overview of microarray technology and describe recent efforts at developing photoresist based techniques for array fabrication.
  • Polymerization Chemistry and Polymer Properties
    Hiroshi Ito, Dolores C. Miller, Mark Sherwood
    2000 年 13 巻 4 号 p. 559-568
    発行日: 2000年
    公開日: 2006/08/04
    ジャーナル フリー
    Radical co- and terpolymerizations of norbornene (NB) derivatives and maleic anhydride (MA) were in situ monitored in dioxane-d8 at elevated temperatures by 1H nuclear magnetic resonance (NMR) spectroscopy. The 5-substitution on norbornene reduces the polymerization rate. The endo and exo isomers of t-butyl norbornene-5-carboxylate are incorporated in the polymer at the same rate. In terpolymerization involving methacrylic monomers (t-butyl methacrylate, methacrylic acid), the third homopolymerizable monomer is much more rapidly incorporated in the polymer, with the ratio of NB to MA in the polymer significantly deviating from 1/1. This observation indicates that the commonly believed chain transfer (CT) mechanism is unfounded. In contrast, acrylic acid and t-butyl acrylate are more uniformly incorporated in the terpolymer. Another evidence against the CT polymerization was obtained by applying the mercury method to analyze the monomer reactivit es using gas chromatography. UV absorption of MA-NB polymers at 193nm tends to be fairly high, which is a compounded effect of modest intrinsic absorption of the anhydride structure itself and a significant contribution from the polymer end group. The anhydride ring can undergo hydrolysis during development when the matrix is polar enough to allow aqueous base penetration, which could enhance the development contrast but the anhydride hydrolysis could lower the storage stability.
  • F. M. Houlihan, G. Dabbagh, I. Rushkin, R. Hutton, D. Osei, J. Sousa, ...
    2000 年 13 巻 4 号 p. 569-578
    発行日: 2000年
    公開日: 2006/08/04
    ジャーナル フリー
    Using terpolymers of Poly(norbornene-alt-malefic anhydride-co-acrylic acid) [P(NB/MA/AA)], the dissolution inhibition mechanism for two types of common additives in 193nm resist formulations, tert-butylcarboxylate (e.g. tert-butyl cholate) dissolution inhibitors (DI) and onium salt photoacid generators (PAC's) were examined. For simple cholate ester derivatives, increasing interactions with maleic anhydride repeating units paralleled the dissolution inhibition (tert-butyllithocholate>tert-butyldeoxycholate>tert-butylcholate). For a wider range of cholate derivatives, increasing hydrophobicity as measured by logPoct or the cloud point formation in water/acetone mixtures, is a good predictor of increased dissolution inhibition. Increases in dissolution promotion appeared to track with the number of carboxylic acid moieties and the hydrophobicity of carboxylic acid moieties released upon acidolytic cleavage of carboxylate esters. Finally, for onium salt PAC's, increasing the size of fluorinated anions decreased dissolution inhibition. This may be because these anions offer more steric hindrance, which disfavors interactions with the terpolymer matrix. The nature of this interaction may be that of a PAG with carboxylic acid as judged from modeling studies with acetic acid in which increasing strength of the interaction correlated with increased dissolution inhibition (triflate>nonaflate> perflurooctanesulfonate)
  • Yoshiyuki Yokoyama, Takashi Hattori, Kaori Kimura, Toshihiko Tanaka, H ...
    2000 年 13 巻 4 号 p. 579-588
    発行日: 2000年
    公開日: 2006/08/04
    ジャーナル フリー
    A novel negative resist system utilizing acid-catalyzed intramolecular esterification of δ-hydroxy acid has been developed for ArF phase-shifting lithography. The system is made up of an acrylate polymer having pendant structure of androsterone derivative with δ-hydroxy acid and a photo-acid generator. Preparation and characterization of the polymer are described. Stability and reactivity of the androsterone derivative moiety with δ-hydroxy acid are also discussed. The negative resist system achieved 0.11-μm line-and-space patterns without micro-swelling distortion by using an ArF excimer-laser stepper and a phase-shifting mask.
  • Hiroshi Yoshino, Michiya Takimoto, Hiroyoshi Tanabe
    2000 年 13 巻 4 号 p. 589-594
    発行日: 2000年
    公開日: 2006/08/04
    ジャーナル フリー
    The effects of plasticizing additives on lithographic performance, PEB temperature dependence, and environmental stability were investigated in 193nm chemically amplified resists based on norbornene t-butyl ester/maleic anhydride copolymer. Tert-butyl (t-Bu) cholate was used as a plasticizing additive to control the glass transition temperatures (Tg) of the resists. The resist softening temperature was decreased from>180°C to 150°C by the addition of t-Bu cholate. The resist sensitivity and resolution were almost unchanged, when post-exposure bake (PEB) temperatures were below the resist softening temperature. The resolution capability was degraded as the PEB temperature increased to above the resist softening temperature. High environmental stability of 60min was achieved by optimizing the resist softening temperature, because soft baking at around the resist softening temperature reduced the amount of residual solvent, and thus made the resist absorb basic airborne contaminants less readily. How ver, the environmental stability was deteriorated at lower PEB temperature. Strict optimization of the PEB temperature is required, for both the resolution capability and environmental stability. The environmental stability of the optimized resist at adequate PEB temperature was almost the same as that of 248nm acetal type resist, and it was sufficient for practical use.
  • Impact of The Development Process on Anhydride-Containing Resist Materials
    Robert D. Allen, Carl E. Larson, Hoa D. Truong, Philip J. Brock, Hiros ...
    2000 年 13 巻 4 号 p. 595-599
    発行日: 2000年
    公開日: 2006/08/04
    ジャーナル フリー
    The impact of develop time and developer concentration was investigated for 193nm resists based on "alternating" polymers of malefic anhydride and norbomene monomers (COMA), prepared by free radical copolymerization. The COMA materials show significant and unique performance dependence on the development process. The development process for COMA materials was found to be a powerful process variable. This paper suggests an explanation for these findings.
  • Naomi Shida, Tohru Ushirogouchi, Koji Asakawa, Takeshi Okino, Satoshi ...
    2000 年 13 巻 4 号 p. 601-606
    発行日: 2000年
    公開日: 2006/08/04
    ジャーナル フリー
    Acrylate monomers were synthesized using a novel aerobic oxidation reaction employing N-hydroxyphtalimide (NHPI) as a catalyst, and then polymerized. These reactions were confirmed to be applicable for the mass production of those compounds. We have synthesized series of reported 193-nm resist materials, and found that they are subject to certain problems. That is, acrylates having hydrophilic acid leaving groups, such as pyranyl or acid-leaving lactones usually have poor etching resistance. Moreover, t-butyl acid-leaving groups or acid-leaving alicyclic groups are too hydrophobic to achieve high performance of the resist. From this point of view, we have designed and synthesized advanced monomers having hybrid structure of alicyclic ring and polar moiety, using aerobic oxidation reaction Finally, patterns with a resolution of 0.13-micron, based on the 1G bit DRAM design rule, were successfully fabricated by optimizing the resist composition containing newly synthesized monomers.
  • Munirathna Padmanaban, Jun-Bom Bae, Woo-Kyu Kim, Takanori Kudo, M. Dal ...
    2000 年 13 巻 4 号 p. 607-615
    発行日: 2000年
    公開日: 2006/08/04
    ジャーナル フリー
    Methacrylate polymers containing pendant cyclic groups such as adamantane and main chain cyclo-olefin polymers with or without maleic anhydride have emerged as the polymers of choice for 193nm lithography. We have been optimizing resist formulations containing poly(2-methyladamantyl methacrylate-co-mevalonic lactone methacrylate) as well as copolymers based on derivatives of norbornene and maleic anhydride. Lithographic results so far indicate that the methacrylate containing formulations are particularly advantageous for 1:1 dense lines and contact hole applications, whereas the cyclo-olefin based formulations show superior performance for semi-dense and isolated line applications. It is expected that resist materials based on these chemistries would be used for the first generation device manufacturing. In this paper we would like to present the lithographic results of AZ®EXP AX™ 1020P, AZ®EXP AX™ 1030P, and AZ®EXP AX™2000P resists which have been designed for contact hole, de se and isolated line applications, respectively. In addition, some of the common issues of current 193nm resists are discussed, such as line-width slimming, line-edge roughness and shelf life.
  • Munirathna Padmanaban, Jun-Born Bae, Michelle Cook, Woo-Kyu Kim, Axel ...
    2000 年 13 巻 4 号 p. 617-624
    発行日: 2000年
    公開日: 2006/08/04
    ジャーナル フリー
    This paper reports on the use of trimethyl sulfonium hydroxide as a base additive for 193nm applications, which is found to stabilize the latent image as well as act as a photodecomposable base. Delay time stability (exposure to post-exposure bake) of formulations consisting of trimethylsulfonium hydroxide is compared to that of a non-photodecomposable base (diethanolamine) in both methacrylate- and cycloolefin-based 193nm resists. Resist formulations made using the trimethylsulfonium base were delay-stable for more than one hour, while the reference formulation with diethanolamine showed T-top formation within 10 minutes delay time under the same conditions. The trialkylsulfonium hydroxide base additives were found to be photodecomposable by measuring the acid produced upon exposure. Compared to a non-photodecomposable base containing resist, the photodecomposable base containing resist produced more acid in the exposed areas under identical PAG/BASE molar ratios.
  • Toru Kajita, Hiroyuki Ishii, Shinji Usui, Katsuji Douki, Hitoshi Chawa ...
    2000 年 13 巻 4 号 p. 625-628
    発行日: 2000年
    公開日: 2006/08/04
    ジャーナル フリー
  • Jin-Baek Kim, Hyo-Jin Yun, Young-Gil Kwon, Bum-Wook Lee
    2000 年 13 巻 4 号 p. 629-634
    発行日: 2000年
    公開日: 2006/08/04
    ジャーナル フリー
    A copolymer of t-butyl 5-norbonene-2-carboxylate, 2-(2-methoxyethoxy)ethyl 5-norbornene-2-carboxylate, norbonene, and malefic anhydride was synthesized as a matrix polymer for ArF excimer laser lithography. Hydrophilic 2-(2-methoxyethoxy)ethyl ester groups are introduced into side chains of the matrix polymer in order to improve adhesion to a silicon substrate without causing cross-linking, and shelf life stability of resist. The resist formulated with the polymer shows better adhesion to a silicon substrate as the mole fraction of 2-(2-methoxyethoxy)ethyl 5-norbornene-2-carboxylate increases. Sub-0.15μm line and space patterns were obtained at a dose of 10.5mJ cm-2 using an ArF excimer laser stepper.
  • A.M. Goethals, F. van Roey, G. Vandenberghe, P. Jaenen, I. Pollers, I. ...
    2000 年 13 巻 4 号 p. 635-644
    発行日: 2000年
    公開日: 2006/08/04
    ジャーナル フリー
    About one year ago, first generation full field ArF step and scan systems (193nm) have been introduced and this has triggered a lot of activity in 193nm lithography. Significant progress has been made in both ArF resist performance and exposure tool characterization. For introduction of 193nm technology, a lot will depend on the maturity of the 193nm resists. Besides lithographic performance, dry etch selectivity with respect to various substrates will play an important role as well as other integration aspects such as BARC compatibility and proximity effects. In this paper, the status of ArF lithography is reviewed for the 130nm node with emphasis on the integration aspects. It will be demonstrated that the state-of-the-art 193nm resists can already be used for integration in critical layers (gate and contacts) of typical CMOS processes. Initial results for the 100nm node using alternating phase shifting masks and quadrupole illumination look very promising for 193nm.
  • P. Rao Varanasi, M.C. Lawson, G. Jordhamo
    2000 年 13 巻 4 号 p. 645-649
    発行日: 2000年
    公開日: 2006/08/04
    ジャーナル フリー
    In this paper, we have shown the importance of acid labile ether protecting groups in the design of high performance 248nm resists with significantly improved etch resistance. Using α-methylbenzyl ether protecting group, we have synthesized and studied partially protected poly(hydroxystyrene) derivative, PHS-MBE. Studies clearly pointed out that methylbenzyl ether protecting group is thermally stable and undergoes acid catalyzed deprotection leading to preferential rearranged products due to electrophilic ring substitution. Such a rearrangement is shown to provide a unique mechanism to reduce/eliminate resist shrinkage and improve lithographic performance and RIE stability.
  • Si-Hyeung Lee, Ki-Young Kwon, Dong-Won Jung, Sook Lee, Kwang-Sub Yoon, ...
    2000 年 13 巻 4 号 p. 651-656
    発行日: 2000年
    公開日: 2006/08/04
    ジャーナル フリー
    A series of new cycloaliphatic olefin monomers protected by alicyclic hydrocarbon groups were synthesized. New cycloolefin-maleic anhydride (COMA) polymers were also designed and prepared using the new monomers for 193nm resist applications. These polymer were synthesized by free radical polymerization method, utilizing azobisisobutyronitrile (AIBN). The new COMA polymer had good transparency at 193nm and had an etch rate in CF4 mixture plasma of approximate 1.0 times that of KrF resists. Using ArF exposure tools (NA=0.6, σ=0.7), 130nm L/S patterns were resolved. When exposed with off-axis illumination, 100nm L/S patterns were resolved.
  • Takashi Chiba, Raymond J. Hung, Shintaro Yamada, Brian Trinque, Miko Y ...
    2000 年 13 巻 4 号 p. 657-664
    発行日: 2000年
    公開日: 2006/08/04
    ジャーナル フリー
    The goal or this work has been to study candidate fluorocarbon materials that might serve as platforms from which to design 157nm resists. A specific goal of the work has been to identify transparent candidate materials that might provide a polymer backbone and acceptable etch resistance. Several model compounds were synthesized and their vacuum UV spectra were measured in the gas phase. Substituted norbornane (bicyclo[2.2.1]heptane) was of significant interest in this regard because we had used this structure successfully in the design systems for 193nm exposure. Surprisingly, 2-monofluoronorbornane is unstable and undergoes spontaneous dehydrohalogenation upon exposure to glass in vacuo. However, 2, 2-substitution with fluorine and with other electron withdrawing groups such as trifluoromethyl and even carbonyl groups gives norbornyl derivatives with greatly improved transparency at 157nm. These observations led to the design a variety of novel norbornenes that can be polymerized by metal catalyzed addition polymerization to give etch resistant polymer platforms with greatly improved transparency at 157nm and led to the study of acrylic co-polymers derived from 2-(trifluoromethyl)acrylic acid.
feedback
Top