Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
Volume 15, Issue 4
Displaying 1-23 of 23 articles from this issue
  • Yoshihiro Kamon, Hikaru Momose, Hideaki Kuwano, Tadayuki Fujiwara, Mas ...
    2002 Volume 15 Issue 4 Pages 535-540
    Published: 2002
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    We have developed novel acrylic copolymers for ArF photoresist which have more etching durability than usual. The excellent one of those copolymers in handling contains 8- or 9-methacryloyloxy-4-oxatricyclo[5.2.1.02, 6]decan-3-one (OTDMA) and γ, γ-dimethyl-α-methylene-γ-butyrolactone (DMMB). OTDMA is a new methacrylic ester monomer having a lactone unit with bridged structure. DMMB introduces a lactone structure to the main chain of acrylic copolymers. It was suggested that the solubility of monomers depends on the hindrance of their polar group, and the role of the hydrophilic monomers has been discussed from the standpoint of the position of their hydrophilic parts.
    Download PDF (738K)
  • Hyun-pyo Jeon, Dong-chul Seo, Chang-min Kim, Young-taek Lim, Seung-duk ...
    2002 Volume 15 Issue 4 Pages 541-548
    Published: 2002
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    We have designed and synthesized peculiar copolymers of norbornene-alt-malefic anhydride derivatives for 193nm lithography.1, 2 These polymers were synthesized by copolymerization of norbornene-malefic anhydride and substitution reaction with bulky alicyclic acid-labile protecting groups. They showed a good physical properties such as high thermal stability and high transmittance for 193nm UV light. Also, photoresists made of our polymers showed a good pattern profile, high resolution, high dry-etching resistance. In this study, we have investigated several polymers and photoresists for line-and-space lithography and contact-hole one.
    Download PDF (1252K)
  • Takanori Kudo, Eric L. Alemy, Ralph R. Dammel, Woo-Kyu Kim, Sang-Ho Le ...
    2002 Volume 15 Issue 4 Pages 549-558
    Published: 2002
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    This paper discusses the 193nm lithography of contact holes with various pitches for 100nm node. We have studied 193nm contact hole resists in view of resist components, process conditions and optical settings. Sidewall roughness was improved by optimizing photoacid generators. Side lobes were eliminated by applying higher post exposure bake temperature or modification of polymers. The influence of optical settings, types of masks and mask bias was discussed with simulation and lithographic results and guidelines for better resolution and iso-dense bias were proposed. The optimized formulation, AZ® AXTM1O50P has a high resolution combined with a large depth of focus and an iso-dense overlap window (130nm (NA=0.63) DOF 0.38μm @ Exposure latitude 10%).
    Download PDF (2076K)
  • Shinji ANDO, Tsuyohiko FUJIGAYA, Mitsuru UEDA
    2002 Volume 15 Issue 4 Pages 559-568
    Published: 2002
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    Time-dependent density functional theory (TD-DFT) calculations using the B3LYP hybrid functional were performed to investigate the transparencies of organic molecules and polymers in the vacuum ultraviolet (VUV) region. The calculated photoabsorption spectra obtained from the combination of geometry optimization using the 6-311G(d) basis set and subsequent calculations of transition energies and oscillator strengths using the 6-311++G(d, p) basis set agree well with the experimental spectra. This method is a useful to infer the transparency of polymers in the VUV region, and in particular helpful for design of photoresist materials for F2 lithography (157nm). The transparencies of the model compounds relating to the representative polymer platforms were estimated, and the calculated spectra demonstrate the effectiveness of judicious introduction of -F and -CF3 groups in reducing optical absorption at the wavelength. In addition, the absorption spectra of model compounds having a sulfonyl fluoride (-SO2F) and sulfonyl ester (-SO2OR) groups, which were proposed by the present authors as novel resist platforms, were calculated and compared with the experimental spectra of corresponding homopolymers.
    Download PDF (1554K)
  • Harry Sewell, James McClay, Peter Jenkins, Bruce Tirri, Donis Flagello ...
    2002 Volume 15 Issue 4 Pages 569-576
    Published: 2002
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    This keynote paper looks at the window of opportunity for 157nm lithography. The issues and challenges of the new 157nm lithography are identified and reviewed in the context of optical scanning system development. Major developments associated with the solution to problems are detailed: Optical material development; birefringence of optical materials; convergence of optics designs; contamination purging; and 157nm laser illumination. Micrascan VII and AT systems are highlighted.
    Resolution performance is projected against the Semiconductor Industry Association Roadmap. Both measured data as well as predictions of system performance are given. The window of opportunity for 157nm lithography is confirmed.
    Download PDF (1378K)
  • Ryoichi Nohdomi, Tatsuya Ariga, Hidenori Watanabe, Takahito Kumazaki, ...
    2002 Volume 15 Issue 4 Pages 577-582
    Published: 2002
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    The roadmap of semiconductor fabrication predicts that the semiconductor market will demand 65nm node devices from 2004/2005. Therefore, an Ultra-Line-Narrowed F2 laser for dioptric projection systems is currently being developed under the ASET project of "The F2 Laser Lithography Development Project". The target of this project is to achieve a F2 laser spectral bandwidth below 0.2pm (FWHM) and an average power of 25W at a repetition rate of 5kHz. The energy stability (3-sigma) target is less than 10%.
    An Oscillator-Amplifier arrangement at 2kHz was developed as a first step of an Ultra-Line-Narrowed F2 laser system. With this laser system, we did the basic study of the synchronization technology for line narrowing operation using two system arrangements: MOPA (Master Oscillator/Power Amplifier) and Injection Locking. Based on this experience we have developed the 5kHz system. With the 5kHz Line-Narrowed Injection Locking system, we have achieved a spectral bandwidth of < 0.2pm with an output energy of > 5mJ and an energy pulse to pulse stability of 10%. The feasibility of a 5kHz Ultra-Line-Narrowed F2 Laser for Dioptric Projection Systems has been demonstrated.
    Download PDF (804K)
  • C. Grant Willson, Brian C. Trinque, Brian P. Osborn, Charles R. Chambe ...
    2002 Volume 15 Issue 4 Pages 583-590
    Published: 2002
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    The synthesis and characterization of several new fluoropolymers designed for use in the formulation of photoresists for exposure at 157nm will be described. The design of these resist platforms is based on learning from previously reported fluorine-containing materials1. We have continued to explore anionic polymerizations, free radical polymerizations, metal-catalyzed addition polymerizations and metal-catalyzed copolymerizations with carbon monoxide in these studies. A new, three component design for 157nm resists will alos be presented. The monomers were characterized by vacuum-UV (VUV) spectrometry and polymers characterized by variable angle spectroscopic ellipsometry (VASE). Resist formulations based on these polymers were exposed at the 157nm wavelength to produce high-resolution images. The synthesis and structures of these new materials and the details of their processing will be presented.
    Download PDF (1301K)
  • H. Ito, H. D. Truong, M. Okazaki, D. C. Miller, N. Fender, P. J. Brock ...
    2002 Volume 15 Issue 4 Pages 591-602
    Published: 2002
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    A copolymer of t-butyl 2-trifluoromethylacrylate (TBTFMA) and norbornene bearing hexafluoroisopropanol (NBHFA) as an acid group, which is prepared by radical copolymerization, is employed in our 157nm resist. The radical copolymerization of 2-trifluoromethylacrylic monomers with norbornene derivatives has been shown to follow the penultimate model much better than the commonly employed terminal model. These copolymers (containing >50mol% TBTFMA) are too lipophilic to provide good imaging. Blending a NBHFA homopolymer with an optical density (OD) of 1.7/μm at 157nm into the copolymers (OD=2.5-2.7/μm) results in increased hydrophilicity and reduced OD (2.2-2.0/μm) and provides high resolution images. A copolymer of TBTFMA with vinyl ethers has been identified as a new platform, which can be prepared facilely by common radical polymerization. Certain vinyl ether copolymers are also compatible with the NBHFA homopolymer and thus blending improves their OD and aqueous base development. Because these fluoropolymers are highly transparent at 193nm as well, they are evaluated as 157/193 dual wavelength resists.
    Download PDF (1955K)
  • Christopher K. Ober, Katsuji Douki, Vaishali R. Vohra, Young-Je Kwark, ...
    2002 Volume 15 Issue 4 Pages 603-611
    Published: 2002
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    2-[4-(2-hydroxyhexafluoro isopropyl)cyclohexane]hexafluoroisopropyl acrylate (AF) was prepared as a key monomer for 157nm photoresist platforms. In order to balance transparency with other desirable traits such as etch resistance, new strategies for high resolution photoresists were developed and are discussed. (1) The α-trifluoromethyl group was introduced on the polymer backbone and the resulting polymer showed unexpectedly high transparency at 157nm (A=1.6μm-1) despite a carbonyl group in all the monomers. A new 157nm transparent monomer that will provide a solubility switch necessary for base solubility was designed. (2) p-t-Butoxy-tetrafluorostyrene was copolymerized with AF. The high acidity of p-hydroxy-tetrafluorostyrene allowed us to increase the content of the monomer in the system to produce a novel polymer that is highly transparent at 157nm (A=2.1μm-1). The polymer showed good 248nm lithographic performances. (3) Introduction of fluorine on the polymer backbone was carried out. Due to a lower electron density of the vinyl groups with fluorine, these vinyl monomers can be copolymerized with electron-rich vinyl monomers such as norbornene using radical initiators. 2-Methyl-2-adamantyl trifluoroacrylate (MAdTFA) was synthesized. An alternating copolymer with a norbornene derivative was found to have high Tg and gave clear images using 248nm imaging.
    Download PDF (1622K)
  • Will Conley, Daniel Miller, Charles Chambers, Brian C. Trinque, Brian ...
    2002 Volume 15 Issue 4 Pages 613-617
    Published: 2002
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    Fluorocarbon based polymers have been identified as promising resist candidates for 157nm material design because of their relatively high transparency at this wavelength. This paper reports our recent progress toward developing 157nm resist materials based on transparent dissolution inhibitors. These 2 component resist systems have been prepared and preliminary imaging studies at 157nm are described. Several new approaches to incorporating these transparent monomers into functional polymers have been investigated and are described. The lithographic performance of some of these polymers is discussed.
    Download PDF (793K)
  • Ryotaro Hanawa, Kazuhiko Hashimoto, Yasunori Uetani
    2002 Volume 15 Issue 4 Pages 619-624
    Published: 2002
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    Lithographic performances of 157nm resists prepared by partially protected NBHFAMOM polymer were compared by using different size of anion of TPS PAG. Vacuum ultra violet spectroscopy measurement, discrimination curve measurements by 157nm open flame exposure, 193nm and 157nm imaging results were examined. Higher resolution and better profile was observed for larger anion size of TPS PAG.
    Download PDF (1002K)
  • Shinji Kishimura, Masayuki Endo, Masaru Sasago
    2002 Volume 15 Issue 4 Pages 625-628
    Published: 2002
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    Download PDF (532K)
  • Toyofumi Shinozuka, Tomoaki Kawakami, Haruyuki Okamura, Masahiro Tsuno ...
    2002 Volume 15 Issue 4 Pages 629-636
    Published: 2002
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    Polymers based on silylated p-hydroxy-α-methylstyrene (SiMST) or methacrylic acid (SiMA) were prepared and their application to positive resists for 157nm lithography was studied. These polymers were synthesized by silylation of the copolymer of methacrylonitrile (MAN) and p-hydroxy-α-methylstyrene (HMST) or copolymerization of corresponding monomers. Etch rate of the films using O2 plasma was 12-45nm/min which was lower than that for poly(vinylphenol) film (80nm/min). The incorporation of Si atoms in the polymer side chain strongly enhanced the etch resistance. Changes in a mass of the films during irradiation were studied by in-situ QCM method. Weight loss for SiMA units was larger than that for SiMST units. Dissolution properties of the films in 2.38wt% TMAH aqueous solution were studied and resist pattern profile was simulated.
    Download PDF (1079K)
  • Sanjay Malik, Stephanie Dilocker, Jeff Eisele, Binod De, Murrae Bowden ...
    2002 Volume 15 Issue 4 Pages 637-642
    Published: 2002
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    Optical absorbance data on a variety of acetal-derivatized hydroxystyrene based polymers are reported at 157nm. Acetals based on tertiary butyl, cyclohexyl ethyl and a silicon-derivative show improved transparency of the corresponding polymers compared with the parent hydroxystyrene backbone. Silicon-acetal demonstrated capability to resolve 80nm dense trenches in a bilayer resist system. No silicon outgassing was observed upon 157nm exposure. The resist also displayed excellent O2/SO2-etch properties.
    Download PDF (1007K)
  • T. Fujigaya, S. Ando, Y. Shibasaki, S. Kishimura, M. Endo, M. Sasago, ...
    2002 Volume 15 Issue 4 Pages 643-654
    Published: 2002
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    Time-dependent density functional theory (TD-DFT) calculations using the B3LYP hybrid functional suggested that sulfonic acid esters are transparent at around 157nm region. Based on these findings, poly(methyl vinyl sulfonate) [poly(VSO3Me)] was prepared and found to have an extremely low absorbance (Abs.) of 2.2μm-1 at 157nm. Various alkyl vinyl sulfonates (VSO3R)s were prepared from 2-chloroethanesulfonyl chloride and alcohol components in the presence of pyridine, and their radical polymerizations were conducted in bulk using 2, 2′-azobis(isobutyronitrile) as an initiator. Polymerizations of primary and secondary VSO3Rs bearing small alkyl substituents gave homopolymers with high molecular weights. Among them, the Abs. of poly(2, 2, 2-trifluoroethyl vinyl sulfonate) reached to 1.3μm-1. Various copolymers from alkyl vinyl sulfonates and 4-(1, 1, 1, 3, 3, 3-hexafluoro-2-hydroxypropyl)styrene (HFISt) were also prepared and the Abs. of poly(1, 1, 1, 3, 3, 3-hexafluoroisopropyl vinyl sulfonate40-co-HFISt60) [poly(VSO3iPr6F40-co-HFISt60)] was found to be 2.4μm-1 at 157nm. The photoresist consisting of partially t-BOC-protected poly(VSO3iPr6F40-co-HFISt28 -co-t-BOCHFISt32) (Abs. 2.6) and an photoacid generator showed the contrast and sensitivity of 10.3 and 5.0mJ cm-2, respectively.
    Download PDF (1889K)
  • Theodore H. Fedynyshyn, Roderick R. Kunz, Roger F. Sinta, Michael Swor ...
    2002 Volume 15 Issue 4 Pages 655-666
    Published: 2002
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    Lithography with 157-nm fluorine lasers is rapidly emerging as the next evolutionary step in optical lithography and is clearly seen as the likely successor to 193-nm lithography. As has been demonstrated with the transition to shorter wavelengths in the past, the resist materials that were developed for the longer wavelength applications are too absorbent for practical use as high-resolution single layer resist with 157-nm radiation. Fluorine containing polymers have been demonstrated to be more transparent in this spectral region than pure hydrocarbon polymers. We have developed and evaluated a number of unique fluoroaromatic-based 157-nm resists including 4-hexafluoroisopropanol styrene copolymers with t-butyl acrylate and acetyl blocked 4-hexafluoroisopropanol styrene. Our recent resist designs are shown to have imaging capability down to 70nm with a 0.60 NA microstepper.
    Download PDF (2058K)
  • Toshiyuki Ogata, Koutaro Endo, Hiromitsu Tsuji, Hiroshi Komano
    2002 Volume 15 Issue 4 Pages 667-671
    Published: 2002
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    Recently, fluoropolymers have become promising base resins for single-layer resist uses in 157nm lithography. The 157nm positive-tone resists we studied displayed good optical transparency at 157nm (absorption coefficient of 1.9 to 2.7μm-1). In addition, these polymers showed good exposure sensitivities ranging from 3.5 to 5mJ/cm2, and high contrast for their dissolution rate curves using an improved dissolution rate monitor for thin film thickness. One of the modified 150nm film thickness resists has achieved 100nm lines and space pattern resolution on SiON substrate using a 157nm stepper (NA 0.60, σ 0.3) with a phase shift mask.
    Download PDF (782K)
  • Christian Eschbaumer, Nicole Heusinger, Christoph Hohle, Michael Sebal ...
    2002 Volume 15 Issue 4 Pages 673-676
    Published: 2002
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    Download PDF (614K)
  • M. K. Crawford, W. B. Farnham, A. E. Feiring, J. Feldman, R. H. French ...
    2002 Volume 15 Issue 4 Pages 677-687
    Published: 2002
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    Implementation of 157nm lithography using single-layer photoresists will require the development of resists with sufficiently high transparency in the vacuum ultraviolet to permit high resolution imaging using films approximately 200nm thick. One of the more promising approaches to this objective involves the use of fluoropolymers as the base resins in the photoresists, since fluorination decreases the optical absorbance at 157nm. Here we describe the optical properties and imaging performance of two fluoropolymer systems. One system is based upon tetrafluoroethylene copolymers, the other upon vinyl addition copolymers. Both systems incorporate norbornene derivatives to provide aqueous base (developer) solubility and plasma etch resistance.
    Download PDF (1973K)
  • Takuya Naito, Satoshi Saito, Naomi Shida, Tohru Ushirogouchi
    2002 Volume 15 Issue 4 Pages 689-692
    Published: 2002
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    Fluorinated organic compounds are applied as F2 laser resists due to their high transparency at 157nm. The relationship between numbers/positions of fluorine atoms in a repeating unit of the fluorinated polymers and their physical/chemical properties (solubility parameter and hydrophilicity) for F2 laser resist is discussed. Fluorine at suitable position improves hydrophilicity without lowering the solubility parameter.
    We propose a novel polymer suitable for F2 excimer laser resists consisting of the polymer with fluorine atoms connecting to proper positions.
    Download PDF (691K)
  • Raymond J. Hung, Mikio Yamachika, Haruo Iwasawa, Akihiro Hayashi, Nobo ...
    2002 Volume 15 Issue 4 Pages 693-698
    Published: 2002
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    Siloxane/silsesquioxane (SSQ) and fluorocarbon materials have been identified to be relatively transparent at 157nm. While the main stream of material research effort has been focused on the synthesis of fluorocarbon polymers, we have made significant progress on developing silsesquioxane polymers for 157nm photoresist application. Our current SSQ based photoresists (α, ε, and δ type shown in this paper) have absorbance value ranging from 3.4 to 2.5μm-1. With a 1200Å thickness, α type has demonstrated 60nm L/S using a 0.85 NA F2 Exitech tool with an alt-PSM and ε and δ type have demonstrated 75nm L/S using a 0.60 NA tool. α type resist patterns (L/S) have been successfully transferred down to underlying spin-on carbon hard mask (HM) using N2/O2 RIE. Progress also has been made on reducing the absorbance of SSQ polymers. A new λ type SSQ polymer showed absorbance value of 1.66μm-1. The design concept and physical properties of synthesized SSQ polymers are presented. Lithographic performance of SSQ based resists is also discussed.
    Download PDF (1041K)
  • Takamitsu Furukawa, Seiro Miyoshi, Hiroyuki Watanabe, Sigeo Irie, Tosh ...
    2002 Volume 15 Issue 4 Pages 699-706
    Published: 2002
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    We describe and evaluate a pattern transfer process suitable for 157-nm lithography. It consists of a hard mask (HM) process using an organic bottom anti-reflective coating (BARC)/SiN structure. The underlayer of the resist works well as an anti-reflection layer. We obtained a rectangular resist profile using three fluorine-containing resists and a 157-nm microstepper. One of the resists was a side-chain fluorinated resist and the others were main-chain fluorinated resists. The reactive ion etching (RIE) conditions were optimized to obtain a vertical HM profile and minimum critical dimension (CD) shift. Although the resist patterns could be transferred to SiN (70nm) HM using any of the resists, the remaining resist thickness was insufficient for mass-production of semiconductor devices. Further improvement of the resist material and optimization of the resist process and etching conditions are necessary. However, using the HM pattern, we were able to fabricate a Wsi/poly-Si 65-nm gate pattern using a high-NA microstepper (NA=0.85), and a tetra-ethyl-orso-silicate (TEOS)-SiO2 150-nm contact hole (C/H) pattern using a 0.60-NA microstepper. This clearly demonstrates that our HM process is the best candidate for sub-70nm-node semiconductor devices.
    Download PDF (1385K)
  • The Maskless Exposure Apparatus
    Akihito Ishikawa
    2002 Volume 15 Issue 4 Pages 707-711
    Published: 2002
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    As today's semiconductor device manufacturing technologies leap toward one hundred nanometers and smaller, packing in more circuitry than ever before, the high density interconnect production technologies to meet such fine feature patterning has become extremely challenging. In order to enable continued use of conventional PCB/PWB materials and processes, it is critical to have an imaging solution which enables image scaling on the fly (real time image scaling). With shortened life cycle of PC's, FDA's and other consumer electronics products today, it is also important that designs can flexibly be altered to improve production yield and to shorten the development time. Utilizing two dimensional micro-mirror devices, this new method of direct imaging introduces a high level of production yield while patterning fine features with high registration accuracy.
    Download PDF (1196K)
feedback
Top