Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
Volume 17, Issue 4
Displaying 1-27 of 27 articles from this issue
  • Atsushi Otaka, Emi Araya, Hikaru Momose, Ryuichi Ansai, Masayuki Tooya ...
    2004 Volume 17 Issue 4 Pages 475-482
    Published: 2004
    Released on J-STAGE: March 23, 2005
    JOURNAL FREE ACCESS
    Design and development of novel monomers and copolymers for 193-nm lithography are described. At the present time, 193-nm lithography is required for 65-nm node and below. Novel monomers and copolymers are considered to be candidates for the development of higher performance resist materials. We focused our attention on pattern profile and line edge roughness. In design of novel monomers, molecular orbital calculation was adopted. It was revealed that CN-group has a higher potential than other polar groups. Novel monomers that contain CN-group were designed, synthesized and co-polymerized with traditional acrylate monomers. It is expected that these copolymers could be higher performance resist materials that could be used in 65-nm node and below.
    Download PDF (422K)
  • Toshiyuki Ogata, Shogo Matsumaru, Hiroaki Shimizu, Kubota Naotaka, Hid ...
    2004 Volume 17 Issue 4 Pages 483-488
    Published: 2004
    Released on J-STAGE: March 23, 2005
    JOURNAL FREE ACCESS
    We have investigated effects of alkoxy-methyl ester as acid labile protecting group on resists characteristics of acryl polymers for the designing of chemical amplification positive-tone resist system. Preparing 2-adamantyloxy methyl methacrylate (AdOMMA) copolymers and resists consisted of these polymer, we have evaluated copolymers properties, exposure sensitivities, resolution, and line edge roughness (LER). AdOMMA copolymers showed a good pattern profile and high resolution. In addition, as compared with 2-methyl-2-admantyl methacrylate (MAdMA) copolymers, AdOMMA copolymers displayed a good LER of 130 nm resist lines, and the observed LER values were 7.6 nm and 5.6 nm, respectively.
    Download PDF (509K)
  • Murirathna Padmanaban, Takamori Kudo, Guanyang Lin, Sungeun Hong, Take ...
    2004 Volume 17 Issue 4 Pages 489-496
    Published: 2004
    Released on J-STAGE: March 23, 2005
    JOURNAL FREE ACCESS
    Target contact hole (C/H) CD sizes for 45-90nm node design rules range from 70 to 130nm with defect levels of one failure per billion contacts. Achieving these C/H design rule targets is a challenging task for the lithographers and the resist chemists. The issue is lack of high resolution and DoF especially for the 65 and 45nm node targets, low depth of focus (DoF) for the isolated contacts even for the 90nm node targets (hence the loss of desired overlap process windows) and high mark error factor (MEF) for the dense contacts. Several resolution enhancement techniques (RETs) such as chromeless phase lithography (CPL), double exposure technique IDEAL and IDEAL-Smile, use of high transmission attPSM have been proposed but none of them have been proven in real production and comes with compromises. The best option and expectation is 193nm resist formulations to deliver the desired targets. While improvements in resolution and process margins are seen and more progress will come as the maturity of the 193nm resists continue, use of resist flow process (RFP) and chemical shrink processes are also being considered at least for the 65nm node and above. Undoubtedly, the resist flow process is the easiest one to implement but it is pitch dependent and therefore does not find global acceptance. Shrink processes such as Resolution Enhancement of Lithography Assisted by Chemical Shrink (RELACSTM in combination of a high performance 193nm resist offers the best promise for the 65-45nm node targets and may be extendable to beyond 45nm node design targets. This paper provides the current status and performance of advanced 193nm single layer resist and the developments in the RELACSTM.
    Download PDF (1226K)
  • Rang-Ching Ho, D. C. Owe-Yang, Shang-Ho Lin, Harrison Chen, J. C. Shih ...
    2004 Volume 17 Issue 4 Pages 497-500
    Published: 2004
    Released on J-STAGE: March 23, 2005
    JOURNAL FREE ACCESS
    Download PDF (476K)
  • Kunihiko Kodama, Kenichiro Sato, Shiro Tan, Fumiyuki Nishiyama, Tsukas ...
    2004 Volume 17 Issue 4 Pages 501-509
    Published: 2004
    Released on J-STAGE: March 23, 2005
    JOURNAL FREE ACCESS
    Transparency of the resist film at exposure wavelength affects lithographic performances, such as sensitivity, profile and resolution. Not only binder polymer, but also photo acid generator (PAG) itself has a significant impact on transparency of the formulated resist. Triphenylsulfonium salt (TPS) or Diphenyliodonium salt (DPI) have been widely used as PAGs in DUV chemically amplified (CA) resists, however, aromatic groups there have strong absorption at 193nm and thereby these PAGs have to suffer from low transparency.
    In this paper, we will report a novel class of transparent enone sulfonium salt PAGs (ENS-PAG), which we believe useful for 193nm resist. The ENS-PAGs do not have any aromatic groups but have an ?,?-unsaturated ketone structure for the absorbing moiety in the backbone. These PAGs showed excellent transparency, thermal stability, and demonstrated an advantage in the line edge roughness (LER)
    Download PDF (788K)
  • Shintaro Yamada, Sungseo Cho, Jay Hyoung Lee, Tao Zhang, Anthony Zampi ...
    2004 Volume 17 Issue 4 Pages 511-518
    Published: 2004
    Released on J-STAGE: March 23, 2005
    JOURNAL FREE ACCESS
    To achieve both sufficient dry etch stability and transparency at 157nm is a challenging task. The bilayer scheme composed of a Si-containing top imaging layer and a thick bottom layer offers good thin film imaging without scarifying etch capability. For the imaging top layer, we have developed a polymer platform based on silsesquioxane (SSQ) backbone having pendant functional groups containing the hexafluoroisopropanol (HFIP) functionality. Monomer and polymer structures were rationally designed to achieve film absorbance at 157nm of 1.1/um and 65nm 1:1 resolution using a 0.6NA 157nm stepper. Outgassing studies during exposure showed that there was no detectable Si-containing outgas from this material. However, about 7% film thickness loss was obtained during exposure that is believed to arise from a very fast deprotection of the ethoxymethyl protecting group.
    Download PDF (647K)
  • Jun Hatakeyama, Takanobu Takeda, Mutsuo Nakashima, Takeshi Kinsho, Yos ...
    2004 Volume 17 Issue 4 Pages 519-525
    Published: 2004
    Released on J-STAGE: March 23, 2005
    JOURNAL FREE ACCESS
    Polysilsesquioxane (SSQ) and alternating-copolymers of silicon containing olefin with maleic anhydride (SiMA) have been employed as backbone polymers of silicon containing bilayer resists. Several kinds of functional alkoxysilanes to form SSQ and silicon containing olefins for SiMA have been synthesized. Fluorinated alcohol as an adhesion unit in SSQ effectively reduces micro swelling in developer. Alternating copolymer structure in SiMA minimizes line edge roughness. Characteristics of oxygen reactive ion etching resistance onto the SSQ and SiMA polymers will be also discussed.
    Download PDF (641K)
  • Keiichi Tanaka, Yoshiaki Yamada, Seiya Masuda, Masakazu Kobayashi, Woo ...
    2004 Volume 17 Issue 4 Pages 527-534
    Published: 2004
    Released on J-STAGE: March 23, 2005
    JOURNAL FREE ACCESS
    Device design rules are continuously shrinking toward the optical resolution limit of k1 factors below 0.3. The requirements for 193 nm photoresist below 90 nm node manufacturing are quite challenging. Using a DI water rinse after development gives a significant amount of line collapse when the aspect ratio is over 3. To avoid line collapse, Tokyo Electron co-developed a special rinse solution for the FIRM process with Clariant Japan K.K. Utilizing FIRM process, 90 nm dense line collapse was measured by CD SEM using focus-exposure matrices. Line collapse in experimental 193 nm positive tone resists was studied by varying monomer ratios of the polymer and process conditions. The surface properties of the resist were also studied to investigate the interaction with the rinse solution in the de-protected region. However, a high surfactant concentration in the DI water rinse can lead to swelling of the resist pattern profile. It is important to co-optimize the resist component and the adequate surfactant concentration in the rinse solution to minimize line collapse and pattern deformation. Both δP and σmax were calculated along the FEM to investigate line collapse dependence on the resist hydrophobicity.
    Download PDF (658K)
  • Haruki Okumura, Kazuhiro Takeda, Naoto Nagai
    2004 Volume 17 Issue 4 Pages 535-540
    Published: 2004
    Released on J-STAGE: March 23, 2005
    JOURNAL FREE ACCESS
    The structural changes in the depth direction of ArF model resist with the VUV curing and/or the dry etching process were investigated by the combined use of a gradient shaving preparation and micro-FTIR line scanned measurements. The effect on the improvement in the resistance against the dry etching process by the VUV curing was also clarified. It was cleared that the estimated thickness of the layer, which was damaged by the dry etching, was approximately 50nm with out the VUV curing. Additionally, it was found that both of the dry etching process and the VUV curing decreased lactone group and formed carboxylic acid, but the degree of the structural change by the VUV curing was clearly greater than that by the dry etching process. It was confirmed that the VUV curing process had the effect on reducing the film shrinkage and the surface roughness by the dry etching process. In addition, the damaged layer by the dry etching process was not formed in the VUV cured samples. It was supposed that the improvement in the resistance against the dry etching process by the VUV curing was caused by the structural changes, which were the creation of carboxylic acid with the decrease of lactone group and the formation of the network structure.
    Download PDF (459K)
  • Tin-Yu Lee, Chao-Ying Yu, Meei-Yu Hsui, R. Hayashi, T. Hirayama, T. Iw ...
    2004 Volume 17 Issue 4 Pages 541-544
    Published: 2004
    Released on J-STAGE: March 23, 2005
    JOURNAL FREE ACCESS
    For the enhancement of the Lithography Technology, photo-resist performance, especially in base polymer, has important rules. Currently, in ArF lithography, base polymer of poly-acrylate has been widely selected, but the performance especially in contact-hole pattern has very severe limit in process window, such as DOF. In this presentation, by using poly-acrylate polymer, which has fairly good PEB margin and thermal stability, we further studied thermal flow performance of its in different polymer disparity. As the result, we observed that low disparity polymer showed small thermal flow rate and consequently, showed enhancement of common DOF in contact-hole pattern.
    Download PDF (342K)
  • KyungMee Kim, JaeHo Kim, YoungHo Kim, SangMun Kim
    2004 Volume 17 Issue 4 Pages 545-548
    Published: 2004
    Released on J-STAGE: March 23, 2005
    JOURNAL FREE ACCESS
    The first generation 193nm resist for sub-90nm DRAM device has brought up defect issues in mass production. Improvement from several aspects has been applied along three different types of defect, 1) micro-bridge, 2) gel-like defect, 3) nozzle drying defect. Diversified attempts were considered from monomer design and polymerization to resist purification process via solvent selection as keys for defect-free solution. Polymerization and following purification process should be well considered to reduce high molecular size fraction. Co-solvent system for resist was also effective to avoid drying out of resist on coating nozzle tip. Also material design of monomers was essentially important to keep composition uniformity in polymer chain and not to generate defect precursor.
    Download PDF (453K)
  • Geunsu Lee, Keundo Ban, Dheolkyu Bok, Changmoon Lim, Kisoo Shin, Seung ...
    2004 Volume 17 Issue 4 Pages 549-555
    Published: 2004
    Released on J-STAGE: March 23, 2005
    JOURNAL FREE ACCESS
    ArF Lithography has critical issues such as CD slimming, not-open behavior in hole pattern, line edge roughness, and uneven CD uniformity, etc. Some of these are partia11y caused by interogeneous interaction between resist and aqueous solutions such as developer and water. ArF resist is relatively hydrophobic compared to KrF and I-1ine resists. Here we will report uneven wetting problem generated by AtF lithography process and introduce new developer containing special surfactant as a solution.
    Download PDF (1641K)
  • T. Yamaguchi, H. Hamatsu
    2004 Volume 17 Issue 4 Pages 557-565
    Published: 2004
    Released on J-STAGE: March 23, 2005
    JOURNAL FREE ACCESS
    We describe the impact of various developers on the roughness of dissolution front of electron beam positive-tone resist ZEP7000. In this study, two types of developers are investigated: single-solvent developer and binary-solvent developer. Atomic force microscope observation of dissolution fronts reveal that for a homologous series of n-alkyl acetate as a single-solvent developer, there are three categories of dissolution process: molecular-level dissolution, aggregate extraction development and their intermediate. Small solvent molecules, such as methyl- and ethyl acetate, are very effective for reducing roughness, For good solvents for ZEP resist as single solvent developer, molecular-level dissolution also occurs and the dissolution front becomes very flat and smooth. However, these developers, though effective for reducing roughness, lead to lower dissolution constant. We clarified that binary-solvent developers consisting of these effective developers and n-hexane as a poor solvent, especially 1:1 ethyl acetate/n-hexane developer, provide both low roughness and high dissolution constant.
    Download PDF (833K)
  • Tadashi Arai, Takashi Hattori, Hiroshi Shiraishi, Hiroshi Fukuda
    2004 Volume 17 Issue 4 Pages 567-573
    Published: 2004
    Released on J-STAGE: March 23, 2005
    JOURNAL FREE ACCESS
    A polyphenol-based positive electron-beam (EB) resist with large absorption at the 248-nm wavelength has been developed as a photo mask for use in KrF lithography. A 1-ethoxyethyl-protected polyphenol compound, TPPA-1000P, was attached to 2-hydroxy- 3-naphthoic acid as a chromophore by esterification using dicyclohexylcarbodiimide. The amount of chromophore attached and the degree of protection were optimized in the positive-resist base resin. The resist, which consisted of the optimized resin and triphenylsulphonium hexafluoroantimonate, produced 0.25-μm rectangular line/space patterns on a silicon substrate at a dose of 12μC/cm2 (75 kV), and a 0.8-μm space pattern on a quartz-mask substrate at a dose of 14 μC/cm2 (50 kV). The absorbance of the resist was 9/μm at the 248-nm wavelength.
    Download PDF (508K)
  • Hiroshi Shiraishi, Sonoko Migitaska, Tadashi Arai, Takashi Hattori, Hi ...
    2004 Volume 17 Issue 4 Pages 575-580
    Published: 2004
    Released on J-STAGE: March 23, 2005
    JOURNAL FREE ACCESS
    Acid-catalyzed condensation resins of naphthol compounds (1-Naphthol/1,6- Dihydroxynaphthalene (1,6-DHN)) with 2,6-Bis(hydroxymethyl)-p-cresol (BHMC) were investigated for photo-mask materials shading that has a 248-nm wavelength. The new naphthol resins were aqueous-base soluble and have sufficiently large optical density (Absorbance>11/?m) at the wavelength. The new resins have alternative structures of naphthol units bonded p-cresol by a methylene bridge. Evaluated trial naphthol-resin-based negative and positive EB resists showed sub-micrometer resolution (0.5?m lines and spaces) and high absorbance, 9/μm and 12/μm, respectively, at a wavelength of 248 nm.
    Download PDF (457K)
  • Masaki Yoshizawa, Yasuyuki Miyamoto, Hiroyuki Nakano, Tetsuya Kitagawa ...
    2004 Volume 17 Issue 4 Pages 581-586
    Published: 2004
    Released on J-STAGE: March 23, 2005
    JOURNAL FREE ACCESS
    An ultra-thin resist process is indispensable for low-energy electron-beam proximity projection lithography (LEEPL) because it uses 2-kV-accelerated electrons with small penetration depth. 70- nm-thick chemically-amplified resists for a tri-layer process were developed with the consideration of the interaction of a polymer with a spin-on-glass material, showing the resolution of 140-nm- pitch contact holes. Application of the tri-layer process developed for LEEPL to making via holes in a 90-nm-node back-end-of-line process proved that the ultra-thin resist was lithographically useful in terms of resolution and etching tolerance. Exploring the resolution performance of electron beam lithography showed that line edge roughness and resolution limit of resist patterns was in linear relation with blur of latent image profile. Reducing the resist thickness is effective in enhancing the resolution of LEEPL because 47 % of the blur is attributed to electron scattering. A Monte Carlo simulation shows that the blur caused by the electron scattering decreases 41 %, to 20 nm from 34 nm, by reducing the resist thickness to 30 nm from 70 nm.
    Download PDF (717K)
  • Ralph Dammel, Frank M. Houlihan, Raj Sakamuri, David Rentkiewicz, Andr ...
    2004 Volume 17 Issue 4 Pages 587-601
    Published: 2004
    Released on J-STAGE: March 23, 2005
    JOURNAL FREE ACCESS
    This paper reviews the current status and future outlook of materials for 193 nm immersion lithography, with special focus on top barrier layers, photoresists, bottom antireflective coatings for numerical apertures exceeding unity, and future challenges for imaging materials along the Roadmap.
    Download PDF (1494K)
  • Masaaki Yoshida, Kotaro Endo, Keita Ishizuka, Mitsuru Sato
    2004 Volume 17 Issue 4 Pages 603-607
    Published: 2004
    Released on J-STAGE: March 23, 2005
    JOURNAL FREE ACCESS
    This paper concentrates on the affect of resist elution to immersion lithography. Resist elution negatively impacts resist performance and causes contamination to the optics in immersion lithography. Two concepts will be discussed that minimize the resist elution issue when water is used as the immersion fluid. One countermeasure approach is to optimize the resist formulation and the resist process. Our study indicates that the process influences elution during resist exposure and baking steps and optimization of these steps is necessary. Also, resist components, such as photo acid generator, amine quencher, and casting thinner, can affect elution. The second approach is the application of a cover material on the resist film. A new developable cover material will be introduced that would eliminate both the elution issue as well as an additional removal step. The new developable cover material will also offer good imaging performance for 45nm lines, using a two-beam interference exposure tool.
    Download PDF (468K)
  • H. Ito, H. D. Truong, L. F. Rhodes, C. Chang, L. J. Langsdorf, H. A. S ...
    2004 Volume 17 Issue 4 Pages 609-619
    Published: 2004
    Released on J-STAGE: March 23, 2005
    JOURNAL FREE ACCESS
    Hexafluoroisopropanol (HFA) extensively utilized in the design of 157 nm resists provides attractive but complex dissolution characteristics. Poly(norbornene hexafluoroalcohol) (PNBHFA), which is equivalent to polyhydroxystyrene for 248 nm lithography, exhibits linear dissolution kinetics in 0.26 N tetramethylammonium hydroxide (TMAH) aqueous solution while weaker developers could induce swelling, resulting in multi-stage dissolution. We have found that the dissolution rate of PNBHFA in 0.26 N TMAH (3,000-8,000 A/sec) is not controlled by polymer molecular weights but affected more significantly by the endo/exo isomer ratio of NBHFA employed in polymerization.PNBHFA with a higher exo concentration dissolves more slowly and exhibits less hydrogen-bonding. The H-bonding interaction is not affected by molecular weight. The HFA OH in the exo isomer interacts more strongly with an acid generator and ester. Dissolution of exo-enriched PNBHFA is more strongly inhibited by addition of an acid generator. Lithographic performance of our PNBHFA blend resist is affected by the endo/exo ratio of NBHFA. Copolymers of two similar TFMAs with NBHFA and vinyl ether bearing norbornane hexafluoroalcohol (VENBHFA) exhibit very different surface segregation when blended with PNBHFA. The hydrophilicity of our TBTFMA copolymer system has been vastly improved by incorporating a polar comonomer carrying two HFA groups, which has decreased the 157 nm absorption to 0.9-1.1/μm. The transparency can be further increased by using such co- and terpolymers as a dissolution inhibitor or contrast booster of the most transparent vendor fluoropolymers.
    Download PDF (1039K)
  • Francis Houlihan, Raj Sakamuri, Andrew Romano, David Rentkiewicz, Ralp ...
    2004 Volume 17 Issue 4 Pages 621-630
    Published: 2004
    Released on J-STAGE: March 23, 2005
    JOURNAL FREE ACCESS
    Further work is described on a new generation of more transparent, 157 nm resist platforms, which are based upon capping of fluoroalcohol-substituted, transparent perfluorinated resins (TFR) with a tert-butoxycarbonylmethyl (BOCME) moiety. By optimizing both resin structure and loading of photoacid generator and base additive a good compromise can achieved between resolution power, dark erosion resistance, sensitivity and transparency at 157 nm. In this manner, resist systems with a transparency as low as 0.87 AU/micron were designed capable of resolving 55 nm 1:1 features, at a dose of 92 mJ/cm2 using a phase shift mask on a Exitech 157 nm small field mini-stepper. Also, these have been imaged with a larger field tool (DUV30 Micrascan VII) to give 80 nm 1.1.5 L/S features at a dose of 135 mJ/cm2 employing using a Binary mask. A description is also given of our work on 193 nm/immersion lithography. Specifically, the effects of changing resist compoents such as PAG and Base content will be discussed. Also, a description of the utility of a protective base soluble barrier coat will be given.
    Download PDF (858K)
  • T. Ishikawa, T. Kodani, T. Yoshida, T. Morita, T. Yamashita, H. Aoyama ...
    2004 Volume 17 Issue 4 Pages 631-637
    Published: 2004
    Released on J-STAGE: March 23, 2005
    JOURNAL FREE ACCESS
    Main-chain-fluorinated base-resins, using the copolymer of tetrafluoroethylene and functional (hexafluoroisopropanol (HFA) group) norbornene, were synthesized. Partial protection of the hydroxyl group as an ethoxymethyl group was achieved by two methods: by copolymerization (Method A) or by polymer reaction (Method B). The partial protection by copolymerization was conducted by copolymerizing TFE with a mixture of protected and unprotected monomers (Method A, copolymerization). Partial protection was also carried out by reacting the hydroxyl group of the polymer, which is composed of TFE and unprotected monomers with ethoxymethyl chloride in the presence of an amine (Method B). In the polymer reaction, only the exo position of the norbornene unit was protected. Their fundamental properties, such as transparency at 157 nm and solubility in a standard alkaline developer, were characterized and studied. High transparency, i.e., absorbance better than 0.4 μm-1, was achieved with both methods. However, the polymer prepared by the polymer reaction (Method B) was deprotected more quickly; it also had a higher dissolution rate and stronger development contrast than the polymer prepared by copolymerization (Method A). Positive-working resists based on these fluororesins were developed and 55 nm dense lines could be delineated by exposure at 157 nm wavelength with alternating phase shift mask on a 0.9 NA 157 nm exposure tool.
    Download PDF (576K)
  • Takashi Sasaki, Yoko Takebe, Masataka eda, Osamu Yokokoji, Shigeo Irie ...
    2004 Volume 17 Issue 4 Pages 639-644
    Published: 2004
    Released on J-STAGE: March 23, 2005
    JOURNAL FREE ACCESS
    We earlier developed a series of fluoropolymers (FPRs) for use as first-generation 157-nm photoresist polymers. These FPRs have a partially fluorinated monocyclic structure and provide excellent transparency. However, their etching resistance is low (half that of conventional KrF resists) and an insufficient dissolution rate in tetramethylammonium hydroxide (TMAH) solution. To improve the characteristics of these polymers, while retaining high transparency, we had to redesign the main chain fluoropolymer structure. In this paper, we describe a new monocyclic fluoropolymer structure for a second-generation 157-nm photoresist polymer. This structure also has a fluorine atom in the polymer main chain, as well as a fluoro-containing acidic alcohol group. We synthesized two types of fluoropolymers, ASF-1 and ASF-2. We found that ASF-1 had transparency of 0.18 μm-1, better than that of the FPRs, and the etching resistance was improved. Unfortunately, the dissolution rate was poor. On the other hand, ASF-2 showed even better transparency of 0.1 μm-1, improved etching resistance, and a dissolution rate of more than 600 nm/s, which is sufficient for use as a resist. The introduction of a protecting group (e.g., the methoxymethyl or adamantylmethoxymethyl group) to the hydroxyl group of ASF-2 can be done after the polymerization reaction. Using partially protected ASF-2 with an appropriate protecting group, we were able to fabricate a sub-60-nm line-and-space pattern.
    Download PDF (510K)
  • Masamitsu Shirai, Shinichi Takashiba, Yusuke Horiguchi, Shigeo Irie, T ...
    2004 Volume 17 Issue 4 Pages 645-650
    Published: 2004
    Released on J-STAGE: March 23, 2005
    JOURNAL FREE ACCESS
    Acidic components in outgassing from 157-nm resists, i.e., fluorinated cyclopolymer (FCP) and its derivatives blocked with alkoxymethyl ether units were quantitatively measured by in-situ QCM technique using the quartz crystal coated with poly(4-vinylpyridine) (PVP) or a copolymer (DMEST) of 2-(dimethylamino)ethyl methacrylate and styrene. The frequency change due to adsorption of acidic materials on quartz crystal was monitored during exposure and the mass adsorbed was calculated. The sensitivity of the QCM system was about 1 ng. The amount of acidic compounds in outgassing was strongly dependent on fluorine content of the resist polymers.
    Download PDF (409K)
  • Darry D. DesMarteau, Norman Lu, Paul Zimmerman, Daniel Miller
    2004 Volume 17 Issue 4 Pages 651-653
    Published: 2004
    Released on J-STAGE: March 23, 2005
    JOURNAL FREE ACCESS
    A series of copolymers of tetrafluoroethylene and 4-trifluormethoxy-1,3-perfluorodioxole (TFETFMD) were prepared as well as a homopolymer of the dioxole (TEMD) using various free-radical initiators. The copolymers were characterized by 19F NMR, TGA, DSC and VUV measurements. The transparency at 157nm increased with increasing dioxole content reaching an ( ((m-1(/sup>) = 0.76 for an unoptimized homopolymer of the dioxole prepared with a perfluorinated peroxide initiator. Exposure studies of the dioxole homopolymer at 157 nm indicate rapid formation of carbonyl species and therefore an anticipated short lifetime as a potential polymer pellicle for 157 nm lithography.
    Download PDF (152K)
  • A. M. Goethals, R. Gronheid, S. Light, M. Ercken, F. Van Roey, D. Van ...
    2004 Volume 17 Issue 4 Pages 655-664
    Published: 2004
    Released on J-STAGE: March 23, 2005
    JOURNAL FREE ACCESS
    About one year ago the first full field F2 step and scan systems have been introduced and resist process optimisation concentrating on full field issues could be started. This paper reports on the resist benchmarking, process optimisation and etch integration challenges for 157nm resists on an ASML MS VII 0.75 NA full field system. Several 157nm resists have been evaluated in terms of resolution, processing latitudes, delay stability and line-edge-roughness. Good progress in resist maturity is seen. Feasibility of sub-50nm gate patterning using 157nm resist in combination with hard masks has successfully been demonstrated.
    Download PDF (1578K)
  • Masato Shigematu, Shigeo Irie, Rikimaru Sakamoto, Takahiro Kishioka, K ...
    2004 Volume 17 Issue 4 Pages 665-670
    Published: 2004
    Released on J-STAGE: March 23, 2005
    JOURNAL FREE ACCESS
    We developed NCA660 as a bottom-antireflective-coating (BARC) for 157-nm lithography last year. The NCA660 characteristics, such as the k-value, dry-etching rate, and film thickness loss, were optimized to meet the target for 157-nm lithography. In this paper, we discuss our evaluation of resist pattern profiles on BARC with various chromophores. We have found that the position of the hydroxyl group in the chromophore is the key to providing an inactive surface, which does not degrade the resist profile, and NCA660 has the optimum chemical structure in terms of the resist pattern profile. In addition, we attempted resist pattern profile control by using NCA660 with acidic additives, and confirmed that this method is quite effective. We concluded that NCA660 is the most suitable BARC for 157-nm lithography and has a wide range of application.
    Download PDF (667K)
  • Yoshinori Matsui, Shu Seki, Seiichi Tagawa, Shinji Kishimura, Masaru S ...
    2004 Volume 17 Issue 4 Pages 671-673
    Published: 2004
    Released on J-STAGE: March 23, 2005
    JOURNAL FREE ACCESS
    Download PDF (196K)
feedback
Top