Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
Volume 18, Issue 4
Displaying 1-21 of 21 articles from this issue
  • Murirathna Padmanaban, David Rentkiewicz, Chisun Hong, Dongkwa Lee, Da ...
    2005 Volume 18 Issue 4 Pages 451-456
    Published: 2005
    Released on J-STAGE: August 17, 2005
    JOURNAL FREE ACCESS
    Line-edge roughness (LER) & line-width roughness (LWR) poses serious problems for the device performance and CD error budget for sub 100nm design rules. Illumination conditions, pitch, resist formulations, resist process conditions, substrates have shown to be the possible origins of LER. With in the resist formulations, polymer composition, dissolution characteristics of polymer during the development process, acid diffusion influence the roughness of the resulting patterns. ITRS (2003) roadmap requires LWR of 2nm (3 sigma) for 65nm DRAM half-pitch for 2007 year of production. Resist formulation optimization can minimize LER but this can not be achievable simply by the resist formulation modifications as the radius of gyration of polymers itself is in the order of 2-5 nm. It is therefore important to study (1) device performance compromises if the required 2nm is not achieved (2) methods to improve the LER after patterning (litho). As for methods to improve the LER after patterning viability of use of rinse solutions, hard bake, RELACS process and some etch process are reported. Possible origins of LER and some attempts to minimize the LER after patterning such as hard bake and RELACSTM process are discussed.
    Download PDF (571K)
  • Yukio Nishimura, Timothy Michelson, Jason E. Meiring, Michael D. Stewa ...
    2005 Volume 18 Issue 4 Pages 457-465
    Published: 2005
    Released on J-STAGE: August 17, 2005
    JOURNAL FREE ACCESS
    Computer simulations and direct measurements were carried out to explore the effect of adding base quencher on the line edge roughness (LER) of photoresist images. The effect of variation of the polymer sequence distribution and hydrophilic units was also studied. The variation of surface roughness at different developed film thicknesses was measured by atomic force microscopy (AFM) for each polymer. It was concluded that the variation of dissolution rate (DR) and the distribution of acid and base are important parameters that must be considered in order to solve the LER problem for future lithography generation.
    Download PDF (629K)
  • F. C. Zumsteg, K. W. Leffew, A. E. Feiring, M. K. Crawsfored, W. B. Fa ...
    2005 Volume 18 Issue 4 Pages 467-469
    Published: 2005
    Released on J-STAGE: August 17, 2005
    JOURNAL FREE ACCESS
    We report here a family of tetrafluoroethylene (TFE) based photoresist polymers that image at 193 nm with low line edge roughness relative to other candidate polymers, while also demonstrating good resolution, high contrast, high transparency at the imaging wavelength and widely tuneable dissolution rates. These polymers are typically composed of (TFE), cyclo-olefins such as norbornene with one or two pendant hexafluorisopropanol groups per monomer and various acrylates. A solubility switch is incorporated into either the cyclo-olefin or the acrylate monomers. These polymers have the flexibility to easily incorporate a wide range of monomers to enhance properties such as solubility and adhesion. Imaging using Lucent's 0.6 NA SVGL stepper with an altPSM is shown. Contrast, optical absorption and dissolution data are also discussed. We have demonstrated a process for manufacture of these polymers that is scaleable to commercial volumes and which results in exceptional compositional uniformity and acceptably low levels of impurities.
    Download PDF (269K)
  • Takahiro Kozawa, Seiichi Tagawa
    2005 Volume 18 Issue 4 Pages 471-474
    Published: 2005
    Released on J-STAGE: August 17, 2005
    JOURNAL FREE ACCESS
    Acid generation processes of chemically amplified electron beam (EB) resists are different from those of chemically amplified photoresists. In chemically amplified EB resists, the role of acid generators is to produce not protons but counter anions of acids through the reactions with low energy electrons generated at ionization events. As the distribution of counter anions determines latent acid image, the dynamics of low energy electrons is important in EB lithography. In this work, we made clear the effects of the initial separation distances between radical cations and electrons, the reaction radii of acid generators and the dielectric constants of base polymers on the sensitivity and resolution of chemically amplified resists.
    Download PDF (332K)
  • Hideo Hada, Takeo Watanabe, Hiroo Kinoshita, Hiroji Komano
    2005 Volume 18 Issue 4 Pages 475-480
    Published: 2005
    Released on J-STAGE: August 17, 2005
    JOURNAL FREE ACCESS
    We found that tri-phenysulfonium cyclo(1,3-perfluoropropanedisulfone) imidate (TPS-Imidate) as a photo acid generator (PAG) is more sensitive rather than tri-phenysulfonium perfluorobutanesulfonate (TPS-PFBS) by EUV exposure. In this paper, we discussed the outgassing characteristics of our discovered the new PAG resist system to better understand the detailed mechanism for obtaining a high sensitivity.
    As for resist B which employs TPS-PFBS as the PAG was measured larger amount of isobutene (m/z 56) and benzene (m/z 78) than that of resist A. As for resist C which employs TPS-Imidate as a PAG, the amount of benzene was larger than that of resist B. It suggests that resist C shows faster sensitivity due to the high amount of acid generated by EUV exposure. In addition, resist C contains PAG anion of imidate derivatives, which carried out distinctive photolysis reactions under EUV exposure. This reaction will be expected to generate many acidic species, which has the potential of becoming a catalyst for the de-protecting reaction. This mechanism is very useful for the resist design to obtain a high sensitivity EUV resist.
    Download PDF (435K)
  • Young-Je Kwark, J. Pablo Bravo-Vasquez, Heidi B. Cao, Hai Deng, Christ ...
    2005 Volume 18 Issue 4 Pages 481-487
    Published: 2005
    Released on J-STAGE: August 17, 2005
    JOURNAL FREE ACCESS
    The opportunities posed by extreme ultraviolet (EUV) lithography are encouraging the development of new resist platforms. The absorbance characteristic of materials required for the EUV lithography demands the use of polymers containing highly transparent silicon atoms. At the same time, very low levels of outgassing of silicon containing fragments are required due to the vacuum environment present during exposure and the extremely high cost of the EUV tools. These two contradictory requirements have led us to pursue new types of silicon containing hybrid materials as a resist platform; chemically amplifiable polysilanes and silsesquiazanes. In the former case, the direct incorporation of acid sensitive groups into the polymer backbone allows for a solubility switch upon exposure. In the later system, a silicon-nitrogen backbone structure can be cleaved upon exposure to induce a solubility switch. These hybrid materials possess many useful properties including low absorbance, low outgassing, and high sensitivity. Silsesquiazanes having different substituents and branching ratios were synthesized. The properties of the polymers will be discussed in the context of their lithographic performance.
    Download PDF (762K)
  • Takafumi Sassa, Tatsuo Wada
    2005 Volume 18 Issue 4 Pages 489-493
    Published: 2005
    Released on J-STAGE: August 17, 2005
    JOURNAL FREE ACCESS
    Very large two-beam coupling gain (Γ) and also a small grating spacing were obtained with a low driving voltage in a photorfractive polymer having low glass transition temperature (Tg) in a novel device structure using prism coupling. A net gain-length product (Γ-α)L (L: interaction length), close to 1 was obtained at an external electric field of <40 V/μm and at a grating spacing of 0.42 μm. A mechanism to bring the enhancement was explained by optimized parameters, effective electro-optic coefficient, phase shift, space-charge field, and effective interaction length, compared with a conventional device structure. Moreover, prism coupling technique was found to be applicable to utilize the molecular alignment in low-Tg polymeric materials, "soft-optoelectronics materials".
    Download PDF (377K)
  • Shinya Kumagai, Shigeo Yoshii, Kiyohito Yamada, Isamu Fujiwara, Nozomu ...
    2005 Volume 18 Issue 4 Pages 495-500
    Published: 2005
    Released on J-STAGE: August 17, 2005
    JOURNAL FREE ACCESS
    High-density ferritin nanopatterning - the protein and core complex - on a silicon substrate was achieved using nanometric patterns of amino-group modification. These patterns were made through a combination of EB lithography and vapor-phase deposition of 3-aminopropyltriethoxysilane (APTES). An appropriate buffer solution, with respect to pH and Debye length, suppressed ferritin adsorption on the SiO2 underlayer while ferritins were adsorbed with high density on a nanometer-size APTES layer. We obtained 50-nm patterned ferritins by using a solution with a 1000-nm Debye length (pH 7.0); with this solution, the attractive ferritin-APTES interaction seemed to be strong enough to overcome the repulsive ferritin-SiO2 interaction.
    Download PDF (689K)
  • Yoshinori Matsui, Shu Seki, Seiichi Tagawa
    2005 Volume 18 Issue 4 Pages 501-502
    Published: 2005
    Released on J-STAGE: August 17, 2005
    JOURNAL FREE ACCESS
    Download PDF (145K)
  • Nao Jing, Miao Wang, Jun Kameoka
    2005 Volume 18 Issue 4 Pages 503-506
    Published: 2005
    Released on J-STAGE: August 17, 2005
    JOURNAL FREE ACCESS
    In this letter, we present a preliminary study on fabrication of ZrO2 nanofibers by electrospinning a sol-gel precursor solution with subsequent calcination process. The resultant nanofibers have an average diameter less than 40 nm and can be produced uniformly and repeatedly. Nanofibers with diameter as small as 13 nm were obtained. EDS and TEM study of the nanofibers were performed and nano-sized zirconia crystals were observed in an amorphous fiber matrix. We also found that fiber diameter was independent of PVP concentration in the range of this study.
    Download PDF (449K)
  • Masayuki Ogino, Shinji Ogasawa, Takehiro Ami, Yoshinaga Yoshimura, Ken ...
    2005 Volume 18 Issue 4 Pages 507-512
    Published: 2005
    Released on J-STAGE: August 17, 2005
    JOURNAL FREE ACCESS
    We describe an efficient template-directed photoligation of oligodeoxynucleotides (ODNs) using modified photoresponsive base. An efficient photoligation was produced by photoirradiation of an ODN containing α-5-cyanovinyldeoxyuridine (αCU) at the 3' end with an ODN containing thymine at the 5' end in the presence of a template ODN. This photoligation method is a new, efficient way to synthesize branched ODNs
    Download PDF (363K)
  • Yukio Nagasaki, Masami Nakamae, Takahashi Tadahito, Kazunori Kataoka
    2005 Volume 18 Issue 4 Pages 513-514
    Published: 2005
    Released on J-STAGE: August 17, 2005
    JOURNAL FREE ACCESS
    Acetal-poly(ethylene glycol)/poy[(2-N,N-dimethylaminoethyl) methacrylate] (acetal-PEG/ PAMA) block copolymer was prepared via an oxianionic polymerization technique, which was established by our laboratory. The acetal-end group was converted to an aldehyde group by an acidic hydrolysis reaction, followed by the installation of biotin moiety at the end of the PEG chain via a reductive amination reaction of biocytin hydrazide. The biotin-PEG/PAMA block copolymer thus prepared was mixed with CdSe/ZnS nano-particles (QD) in aqueous media. The obtained polymer-QD complex showed extremely high dispersion stability even in the physiological saline condition. The adsorbed biotin-PEG/PAMA block copolymer on the QD formed the tethered chain fashion on the surface to improve the dispersion stability by the steric factor of the tethered chains. Since the PEG chain end on the QD surface possessed biotin moiety, it could be utilized for not only immunohistochemistry but also various other biological applications.
    Download PDF (164K)
  • Tomohide Kanda, Kazuya Yamamoto, Takao Aoyagi
    2005 Volume 18 Issue 4 Pages 515-518
    Published: 2005
    Released on J-STAGE: August 17, 2005
    JOURNAL FREE ACCESS
    Download PDF (286K)
  • Hitoshi Tabata, Takeshi Uno, Toshihito Ohtake, Tomoji Kawai
    2005 Volume 18 Issue 4 Pages 519-522
    Published: 2005
    Released on J-STAGE: August 17, 2005
    JOURNAL FREE ACCESS
    DNA nano pattering has been performed with a pitch of 50-100 nm by nano-imprinting technique. Poly-L-lysine is quite effective to immobilize the DNA molecules. This is promising technique to make nano patterning without a photo mask process. DNA chip has been also fabricated by using IS-FET device as a transducer.
    Download PDF (396K)
  • Akihiro Miyauchi
    2005 Volume 18 Issue 4 Pages 523-524
    Published: 2005
    Released on J-STAGE: August 17, 2005
    JOURNAL FREE ACCESS
    Nanoimprint technology is new fabrication method to fabricate nano-scale patterns. Fine patterns are formed on a polymer film by pressing a nano-mold that has fine concavity and convexity patterns on the surface. The application fields are spreading to IT-electronics, bio-life science and energy-environmental devices. Principal of nanoimprint and some examples of application will be reported.
    Download PDF (252K)
  • Freimut Reuther
    2005 Volume 18 Issue 4 Pages 525-530
    Published: 2005
    Released on J-STAGE: August 17, 2005
    JOURNAL FREE ACCESS
    In thermal nanoimprint lithography (NIL), nanometre scale features of a stamp are embossed into a thin polymer layer, which has been heated above its glass transition temperature (Tg). In the development of NIL polymer materials have been playing a key role. Process parameters such as imprint temperature, time and pressure depend on the thermomechanical properties of the polymers. High etch resistance is important for transferring nanometre scale imprinted patterns into a substrate.
    A survey on polymer systems specifically designed for NIL is given. Thermoplastic methacrylate-based homopolymers and copolymers have been prepared differing in their chemical composition and molecular weights, thermal and flow properties and exhibiting excellent plasma etch resistance.
    Curing polymers enable nanoimprinting at moderate temperatures. Macromolecular networks are formed by thermal or photochemical crosslinking. In the first case crosslinking occurs during imprinting, in the second one, imprinting and network formation can be conducted in separate steps. The thermal properties of curing polymers can be controlled by the imprint conditions.
    Download PDF (662K)
  • Nobuji Sakai, Jun Taniguchi, Kenshi Kawaguchi, Makoto Ohtaguchi, Taman ...
    2005 Volume 18 Issue 4 Pages 531-536
    Published: 2005
    Released on J-STAGE: August 17, 2005
    JOURNAL FREE ACCESS
    We prepared five kinds of photo-curable resins, and conducted evaluation experiments for their thermal properties, mechanical properties, and application availability for the imprint process. Measurements of thermal properties using the TG method, TM-DSC method, and laser interferometric method were studied. From these measurements, it was found that the measurements of the thermally stable temperature, glass-transition temperature, and linear expansion coefficient of the resins were possible. Also, measurements of mechanical properties using the nano indentation were studied. In this method, the measurements of Young's modulus of the thin resin film on the substrate were possible. With respect to application availability for the imprint process, we investigated effects of the mold release treatment and their durability for two kinds of resins by measuring their adhesive force. It was observed that the difference in durability of mold release treatment effects depends on the resin type.
    Download PDF (485K)
  • Hiroshi Hiroshima
    2005 Volume 18 Issue 4 Pages 537-542
    Published: 2005
    Released on J-STAGE: August 17, 2005
    JOURNAL FREE ACCESS
    Patterns were fabricated by photo-nanoimprint using a Si mold having extremely smooth patterns with a line edge roughness (LER) of 0.58 nm. The LERs of photo-nanoimprint patterns were evaluated by an off-line analysis of scanning electron microscope images using the scaling analysis. The LER of photo-nanoimprint pattern for UV exposure dose of 0.01 - 1 J/cm2 is not affected by the exposure dose and takes as low as 0.64 - 0.78 nm. Analyses of LER using white noise subtraction revealed that the LER profile originated in the Si mold pattern of the scaling analysis is preserved in photo-nanoimprint patterns.
    Download PDF (476K)
  • Atsushi Sekiguchi, Yoshiyuki Kono, Yoshihiko Hirai
    2005 Volume 18 Issue 4 Pages 543-549
    Published: 2005
    Released on J-STAGE: August 17, 2005
    JOURNAL FREE ACCESS
    Numerous methods are available for lithography below the 100 nm node scale, including F2, 193 nm immersion, EB, EUV, and imprint lithography. Among these methods, imprint lithography has attracted significant attention because it does not require expensive exposure equipment. Imprint lithography can be performed by one of two primary methods: the thermal method or the UV curing method.[2] In thermal imprinting, the resin is softened above Tg before being formed by a mold. In UV imprinting, a transparent mold is applied to a liquid resin, which is then exposed to UV light for curing. Thermal imprinting requires a pressure of 10 MPa and consumes throughput (to increase and reduce the temperature) time requires time for throughput (i.e., time required to increase and reduce temperatures). In contrast, UV imprinting does not require high pressure, since the resin is basically a viscous liquid and soft enough to be deformed. However, since the resin is in liquid form, the UV imprinting process is sensitive to the flatness of the substrate and mold. Problems of non-uniformity (i.e., interference patterns) have been noted in residual film distribution. In response, we developed what we call the PEP method, which combines the advantages of both thermal and UV imprinting. We have performed various experiments to examine the consequences of the PEP approach. The Pre-Exposure Process method essentially consists of a type of UV imprinting, but one in which the resin is subject to extremely weak exposed prior to the pressing exposed to very weak UV radiation before pressing, which slightly hardens the resist and increases rigidity. The mold is then pressed to shape the resin, followed by the primary exposure. This process allows the resin to maintain softness equivalent to that at or above Tg in thermal imprinting, while allowing processing, as in UV imprinting. We also examined the relationship between exposure and crosslinking ratios, using FT-IR equipment with an exposure function, to determine the optimal crosslinking ratio for the PEP method. The results of these examinations are also reported.
    Download PDF (982K)
  • Yoshihiko Hirai
    2005 Volume 18 Issue 4 Pages 551-558
    Published: 2005
    Released on J-STAGE: August 17, 2005
    JOURNAL FREE ACCESS
    Polymer material is one of the key technologies in nanoimprint lithography. The process conditions are strongly depends on the characteristics of the polymer. To optimize and design polymer characteristics for nanoimprint lithography, understanding process mechanism is indispensable. This paper reviews typical polymer characteristics and deformation process in thermal nanoimprint process by theoretical analysis. Also, UV curing process is investigated by simulation analysis and some issues are studied. Based on these results, polymer science for nanoimprint process is discussed.
    Download PDF (699K)
  • Takashi Yamashita, Nao Yoshida, Nobuakia Wada
    2005 Volume 18 Issue 4 Pages 103-108
    Published: 2005
    Released on J-STAGE: August 17, 2005
    JOURNAL FREE ACCESS
    Free volume distribution of poly (methyl methacrylate) and their alkyl ester derivatives was determined with photo-reactive probe techniques. With azobenzene as the photochromic probe, fairly large part of the free volume in PMMA is found to be the more reactive cavity than the reaction in solution, while there is some fraction of free volume whose quantum of the photoreaction is zero. With the increase in the alkyl chain length, increase in the average free volume is observed. The average free volume becomes smaller for blanched alkyl esters due to occupation by the dangling chain. Inclusion complex with β-cyclodexistrin makes the free volume distribution monodispersely, while the distribution is wide with g-cyclodextrin inclusion complex.
    Download PDF (408K)
feedback
Top