Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
Volume 18, Issue 5
Displaying 1-15 of 15 articles from this issue
  • Graham L. W. Cross, Barry S. O'Connell, John B. Pethica, Warren C. Oli ...
    2005 Volume 18 Issue 5 Pages 559-562
    Published: 2005
    Released on J-STAGE: August 19, 2005
    JOURNAL FREE ACCESS
    Download PDF (398K)
  • Charles D. Schaper
    2005 Volume 18 Issue 5 Pages 563-564
    Published: 2005
    Released on J-STAGE: August 19, 2005
    JOURNAL FREE ACCESS
    Download PDF (325K)
  • Gun Young, Wei. Wu, Heon Lee, S. Y. Wang, William M. Tong, R. Stanley ...
    2005 Volume 18 Issue 5 Pages 565-570
    Published: 2005
    Released on J-STAGE: August 19, 2005
    JOURNAL FREE ACCESS
    Nanoimprinting lithography was initiated as an alternative way to achieve nanoscale structures with high throughput and low cost. We have developed a UV-nanoimprint process to fabricate 34(34 crossbar circuits at 50 nm half-pitch (equivalent to a bit density of 10 Gbit/cm2) with single-layer structure. We devised a technique that exploits the opposite free energies of the mold and substrate surfaces to produce a very uniform resist film without any trap air. As the pitch size decreases, lift-off process with single-layer structure was difficult to define metal wires. Sixty-six by sixty-six crossbar structures with a half-pitch of 30 nm (equivalent to a bit density of 30 Gbit/cm2) were produced with bi-layer structure.
    Download PDF (623K)
  • M. Meanhoudt, G. Vandenberghe, M. Ercken, S. Cheng, P. Leunissen, K. R ...
    2005 Volume 18 Issue 5 Pages 571-577
    Published: 2005
    Released on J-STAGE: August 19, 2005
    JOURNAL FREE ACCESS
    At IMEC, one of the first full field 193nm immersion scanners has been installed, i.e. a XT:1250Di with maximum NA=0.85. Immersion tools are expected to show the same stability and control as the equivalent dry systems. Therefore CDU, focus and overlay control are being evaluated. New aspects arise with respect to photoresist processing and defectivity due to the interaction with water, such as leaching and water uptake. Resists need to be optimized for this, and in the mean time immersion top coats are introduced to overcome these issues. These top coats prevent leaching of resist components, but can show new problems such as the occurrence of a mixing layer with the resist or a soaking fingerprint. Resolution enhancement techniques need to be reconsidered taking into account the better process latitudes and imaging performance caused by immersion. As immersion will lead to the introduction of NA's larger than 1, simulation studies are started to understand the impact of high incident angles on the mask level such as the effect of mask topography and polarization.
    Download PDF (1536K)
  • Harry Sewell, Diane McCafferty, Christian Wagner, Louis Markoya
    2005 Volume 18 Issue 5 Pages 579-586
    Published: 2005
    Released on J-STAGE: August 19, 2005
    JOURNAL FREE ACCESS
    The 32nm lithography node is the next major target for optical lithography on the Semiconductor Industry Roadmap. The recently developed water-based immersion lithography using ArF illumination will be able to provide an optical solution for lithography at the 45nm node, but it cannot achieve the 32nm node as currently defined. EUV is the main solution for the 32nm node, but the evolution of immersion lithography does represent an opportunity to extend ArF lithography down to the 32nm node.
    This paper reports progress towards achieving 32nm lithography using immersion lithography. To achieve this next lithographic node will require new, very high refractive index fluids to replace the water used in current immersion systems.
    Testing and experiments to develop key technology for the 32nm node are reported. These experiments were run using interference immersion lithography.
    Interference imaging printers have been available for years, and with the advent of immersion lithography have a new use. Interference immersion image printing offers the user a rapid, cost-effective way to develop immersion lithography, particularly at extremely high resolutions. Although it can never replace classical lens-based lithography systems for semiconductor device production, it does offer a way to develop resist and fluid technology at a relatively low cost. Its simple, image-forming format offers easy access to the basic physics of advanced imaging. Issues such as: fluid/resist interaction during exposure; topcoat film performance; line edge roughness of resists at extremely high resolutions; and the polarization of the image-forming light rays can all be readily studied.
    Experiments are described and results are provided for work on: 32nm imaging tests; high refractive index fluid testing using ArF wavelength at resolutions well beyond current lens-based system capabilities; and polarization configuration testing on both 32nm and 45nm L/S features.
    Download PDF (1215K)
  • Nobuyuki Matsuzawa, Yoko Watanabe, Boontarika Thuunakart, Ken Ozawa, Y ...
    2005 Volume 18 Issue 5 Pages 587-592
    Published: 2005
    Released on J-STAGE: August 19, 2005
    JOURNAL FREE ACCESS
    Requirement on substrate reflectance was estimated numerically based on an optical simulation for hyper-NA (numerical aperture) lithography with the water immersion technique. Conditions to minimize the substrate reflectance were further calculated for dual BARC (bottom antireflective coating) layers with NA varying from 1.0 to 1.4. In addition, margins of the conditions were estimated based on the requirements on substrate reflectance. Based on these numerical results, requirements for materials to be used for dual BARC layers are discussed.
    Download PDF (523K)
  • Ralph R. Dammel, Georg Pawlowski, Andrew Romano, Frank M. Hoolihan, Wo ...
    2005 Volume 18 Issue 5 Pages 593-602
    Published: 2005
    Released on J-STAGE: August 19, 2005
    JOURNAL FREE ACCESS
    The leaching of ionic PAGs from model resist films into a static water volume is shown to follow first order kinetics. From the saturation concentration and the leaching time constant, the leaching rate at time zero is obtained which is a highly relevant parameter for evaluating lens contamination potential. The levels of leaching seen in the model resists generally exceed both static and rate-based dynamic leaching specifications. The dependence of leaching on anion structure shows that more hydrophobic anions have lower saturation concentration; however, the time constant of leaching increases with anion chain length. Thus in our model system, the initial leaching rates of nonaflate and PFOS anions are identical. Investigation of a water pre-rinse process unexpectedly showed that some PAG can still be leached from the surface although the pre-rinse times greatly exceeded the times required for saturation of the leaching phenomenon, which are expected to correspond to complete depletion of leachable PAG from the surface. A model is proposed to explain this phenomenon through re-organization of the surface as the surface energy changes during the air/water/air contact sequence of the pre-rinse process. The efficiency of developer-soluble top barrier layers in reducing leaching and their impact on lithography are described as well as the effect of PAG doping into the top layers.
    Download PDF (843K)
  • Shinichi Kanna, Haruki Inabe, Kei Yamamoto, Shinji Tarutani, Hiromi Ka ...
    2005 Volume 18 Issue 5 Pages 603-613
    Published: 2005
    Released on J-STAGE: August 19, 2005
    JOURNAL FREE ACCESS
    The interfacial mass transfer issues of resist components in ArF immersion lithography were investigated both for topcoat resist system and for non-topcoat resist system. PAGs and photoacids are known for the major components that leach out from the resist to water and topcoat has been simply expected to shut off this leaching. In this study, topcoat layer was actually verified significantly to reduce the PAG leaching, but PAG (or photoacid) diffusion from the resist to topcoat was found as another issue. This resulted in T-top formation in the imaging due to the loss of photoacid nearby the resist surface caused by this diffusion. A material approach to reduce this interfacial diffusion was proposed through a thermodynamic consideration of the materials system. The free energy change for PAG-polymer solubilization is the key and the Solubility Parameter value was considered to represent a good measure of this free energy change. The experimental results well matched this analysis. On the other hand, a perfect suppression of the leaching without using topcoat would be more desirable if it is feasible. The influence of structural parameter of the resist component was investigated. The hydrophobicity of PAG was found to well correlate inversely to the leaching amount of the PAG into water, and thereby a technical guideline was obtained in designing resist materials to make them resistant to the leaching. By optimizing each resist component on this bases, we actually demonstrated a resist that showed the PAG leaching level reduced down to 10-13[mol/cm2], which we believe far below to what we are today with conventional dry resists. A resist sample was thus developed targeting the non-topcoat approach and was examined for its imaging performance with a realistic immersion lithography tool without using any topcoat. The resist actually showed good imaging results fully with the benefits that we expected with the immersion exposure.
    Download PDF (860K)
  • Robert D. Allen, Phillip J. Brock, Linda Sundberg, Carl E. Larson, Gre ...
    2005 Volume 18 Issue 5 Pages 615-619
    Published: 2005
    Released on J-STAGE: August 19, 2005
    JOURNAL FREE ACCESS
    Interactions of water and 193nm resists are described and detailed. Leaching of PAGs is experimentally verified, and the influence of PAG structure on leaching rate is determined. The mode of action of immersion topcoats is described. The influence of the topcoat/resist interaction in assessed. Finally, the design evolution of immersion topcoats with desirable properties is discussed.
    Download PDF (560K)
  • Mitsuhiro Hata, Hyun-Woo Kim, Jin-Young Yoon, Jung-Hwan Ha, Man-Hyoung ...
    2005 Volume 18 Issue 5 Pages 621-625
    Published: 2005
    Released on J-STAGE: August 19, 2005
    JOURNAL FREE ACCESS
    Immersion barrier coat was formulated and evaluated on ArF photoresists from view points of profiles and lithographic performances with both dry and wet conditions. Hydrophobic group, acid group, and polar group were introduced into base polymer to realize water-barrier property and developability. In order to compensate the in sufficient developability, an additive is included in the formulation that can enhance the developability.
    Download PDF (485K)
  • Jae Chang Jung, Geunsu Lee, Sung Koo Lee, Keun Do Ban, Cheolkyu Bok, S ...
    2005 Volume 18 Issue 5 Pages 627-630
    Published: 2005
    Released on J-STAGE: August 19, 2005
    JOURNAL FREE ACCESS
    Recently, a new technology called ArF immersion lithography is emerging as a next generation lithography. The first problem of this technology is contamination issues that come from the dissolution of contaminants from the photoresist to the immersion liquid. The second is optical problem that comes from the using hyper NA system. To solve these two problems, we have developed top antilective coating (TARC) material. This TARC material can be coated on resist without damage to the resist property. In addition to, this TARC material is easily developable by conventional 2.38 wt% TMAH solution. The reflective index of this TARC is adjusted to 1.55, so it can act as an antireflective material. To this TARC material for immersion, quencher gradient resist process (QGRP) was applied also. As a result, we could improve resolution and process margin. However, some of resists showed defects that were generated by this TARC material and QGRP. To solve this defect problem, we introduced buffer function to the TARC material. Thanks to this buffer function, we could minimize defects of resist pattern in immersion lithography.
    Download PDF (554K)
  • T. Yamashita, T. Ishikawa, T. Yoshida, T. Hayama, T. Araki, H. Aoyama, ...
    2005 Volume 18 Issue 5 Pages 631-639
    Published: 2005
    Released on J-STAGE: August 19, 2005
    JOURNAL FREE ACCESS
    Various fluorinated polymers were synthesized for application in 193-nm immersion lithography with the goal of improving 157-nm photoresist performance. Their fundamental properties were characterized, such as transparency at 193-nm and 157-nm (wavelength) and solubility in water and a standard alkaline developer. High transparency, i.e., absorbance better than 0.2 μ-1 at 193-nm wavelength, was achieved. The dissolution behaviors of them were studied by using the Quartz Crystal Microbalance (QCM) method. We find that the dissolution rate of Poly(norbornene-2-fluoro-2-hexafluoroalchol) (PNB1FVIP) in 0.065N tetramethylammonium hydroxide (TMAH) was >100 times (nm/s) faster than that of the copolymer of tetrafluoroethylene (TFE) and norbornene-2-fluoro-2-hexafluoroalchol (TFE/NB1FVIP). A resist based on TFE/NB1FVIP was able to delineate 75 nm dense lines by exposure at 193-nm (wavelength) with an alternating phase shift mask using a 0.75 NA ArF scanner. The dissolution rates of the fluoropolymers in water and a 0.262N and 0.065 TMAH can be controlled by optimizing counter monomers containing hexafluoroisopropanol (HFA) unit, carboxylic acid unit and so on. In addition, we have collect water contact angle data. This data shows that fluoropolymers can be used as top-coats for 193-nm immersion lithography resists.
    Download PDF (645K)
  • Hiromitsu Tsuji, Masaaki Yoshida, Keita Ishiuka, Tomoyuki Hirano, Kota ...
    2005 Volume 18 Issue 5 Pages 641-645
    Published: 2005
    Released on J-STAGE: August 19, 2005
    JOURNAL FREE ACCESS
    Immersion lithography has already demonstrated superior performance for next generation semiconductor manufacturing, while some challenges with contact immersion fluids and resist still remain. There are many interactions to be considered with regards to solid and liquid interface. Resist elusion in particular requires very careful attention since the impact on the lens and fluid supply system in exposure tool could pose a significant risk at the manufacturin stage. TOK developed a screening procedure to detect resist elution of ion species down to ppb levels during non and post exposure steps. It was found that the PAG cation elution is affected by molecular weight and mobility. Lithographic perofrmance is discussed with the low elution type resist. The alternate application of a cover material on the resist film is also considered as the issue of immersion lithography.
    Download PDF (429K)
  • A. M. Goethals, R. Gronheld, L. H. A. Leumissen, F. Van Roey, H. H. So ...
    2005 Volume 18 Issue 5 Pages 647-654
    Published: 2005
    Released on J-STAGE: August 19, 2005
    JOURNAL FREE ACCESS
    EUV lithography is one of the options to be introduced for the 32nm node. Early development of adequate resists and processes is required for timely introduction of EUV technology. In this paper, a screening of EUV resist materials is presented based on EUV interference lithography using transmissive gratings and a synchrotron light source. It was found that most resists are limited in resolution down to 35nm HP. One major issue is to simultaneously achieve high resolution, high sensitivity and low Line edge roughness (LER) required for the 32nm node. The results obtained with interference lithography were compared with exposures performed on a Micro-Exposure Tool (0.3 NA). Lower ultimate resolution, differences in profile but comparable exposure latitudes were seen. Interference lithography is considered to be valuable for early resist testing. Still much work is needed to push EUV resist to the levels necessary for commercialisation.
    Download PDF (1378K)
  • John H. Burnett
    2005 Volume 18 Issue 5 Pages 655-662
    Published: 2005
    Released on J-STAGE: August 19, 2005
    JOURNAL FREE ACCESS
    193 nm immersion lithography optical projection systems using conventional UV optical materials and water as the immersion fluid, with planar lens/fluid interfaces, have a practical numerical aperture (NA) limit near 1.3. Higher-index resists and immersion fluids are being developed, but the bottleneck for pushing the NA further is the relatively small refractive index of the final lens element material. In this paper we consider the possibility of using novel high-index materials in the last lens element to get around this bottleneck and to push the NA limit to at least 1.5, while containing the lens system size and complexity. We discuss four classes of high-index (n>1.8), wide-band-gap, oxide-based materials that have the potential for being fabricated with optical properties appropriate for lithography optics: group-II oxides, magnesium-aluminum-spinel-related materials, ceramic forms of spinel, and aluminum garnets. We present measurements of the optical properties of these materials, including intrinsic birefringence, and we assess their prospects.
    Download PDF (788K)
feedback
Top