Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
Volume 19, Issue 3
Displaying 1-23 of 23 articles from this issue
  • Hiroshi Ito, Hoa D. Truong, Sean D. Burns, Dirk Pfeiffer, David R. Med ...
    2006 Volume 19 Issue 3 Pages 305-311
    Published: 2006
    Released on J-STAGE: August 17, 2006
    JOURNAL FREE ACCESS
    Positive 193 nm bilayer resists based on polysilsesquioxanes (SSQ) and polyhedral oligomeric silsesquioxanes (POSS) are described. Fluoroalcohol is employed as an acid group. Our POSS materials are prepared by condensation of functional trialkoxysilane rather than by the commonly employed hydrosilylation on POSS and consist of T3 while the hydrosilylation method produces Q4 POSS. The condensation method is more suitable for scale-up, producing POSS materials with higher glass transition temperatures. The materials were analyzed by 19F, 13C, and 29Si NMR to determine composition and to quantify Q/T. The SSQ and POSS materials with the same compositions were compared in terms of the degree of hydrogen bonding by IR and the dissolution behavior by quartz crystal microbalance (QCM). Densities of the SSQ polymers were determined by X-ray reflectivity measurements and Rutherford back-scattering. Positive resists formulated with ternary SSQ and POSS were evaluated for contact hole and line/space applications, respectively. Characterization and lithographic performance of SSQ and POSS resists are described in detail.
    Download PDF (2335K)
  • Namuk Choi, Jae Hyun Kim, Young-Ho Kim, Tae-Sung Kim
    2006 Volume 19 Issue 3 Pages 313-318
    Published: 2006
    Released on J-STAGE: August 17, 2006
    JOURNAL FREE ACCESS
    The lithographic performance of a 193 nm resist was evaluated for 75 nm line and space patterns with thicknesses ranging from 35 nm to 170 nm. Because of the high line edge roughness (LER) value and low depth of focus (DOF), the ArF standard resist was not appropriate for sub-100nm thick films. The influence of the concentration of photo acid generator (PAG) on the lithographic performance-LER, depth of focus (DOF)- over the thickness range of 35 nm to 110 nm will be investigated in this paper. With PAG loading percentage increased, the LER and DOF value were enhanced at sub-100 nm thickness. Finally, It was demonstrated that the lithographic performance could be enhanced down to 50 nm thickness, changing the resist structure for light absorbing type based on the high PAG loading resist.
    Download PDF (2414K)
  • Jen-Chieh Shih, Jia-hom Chu, Ching-Yu Chung
    2006 Volume 19 Issue 3 Pages 319-322
    Published: 2006
    Released on J-STAGE: August 17, 2006
    JOURNAL FREE ACCESS
    Focus-latitude enhancement exposure (FLEX) is an approach to enhance the effective depth of focus (DOF) in optical projection printing. However, throughput is reduced with multiple exposures. Besides, the contrast of aerial images and exposure latitude (EL) are decreased by FLEX. X-tilted correction (XTC) provides a solution to improve throughput and preserve the imaging performance of FLEX. In this paper, lithographic performance of XTC exposure was studied using polymers with different loading of photo acid generators (PAG) and quenchers. Experiment results showed that polymer with middle active energy of acid-label group performed better. MEF and DOF of XTC were not sensitive to PAG loading but the diffusion length and acidity of PAG impacted MEF and DOF. Furthermore, some quenchers were added to the photoresist to control the acid diffusion rate for XTC. We can conclude that polymer in combination with proper PAG loading and quencher must be specially designed for XTC lithography process.
    Download PDF (1425K)
  • Kilyoung Lee, Gensu Lee, Samyoung Kim, Sungkwon Lee, Junhee Cho, Wonky ...
    2006 Volume 19 Issue 3 Pages 323-326
    Published: 2006
    Released on J-STAGE: August 17, 2006
    JOURNAL FREE ACCESS
    We have studied the applicability of MFHM (Multi-Functional Hard Mask) processl. MFHM is very usefill in terms of cost reduction and process simplicity compared to a-carbon process. ln our study, MFHM/SOC (Spin on Carbon) showed a good etch selectivity at L/S (Photoresist/MFHM/SOC ≈ 1.4/7) and C/H (Photoresist/MFHM/SOC &asymp l/1.4/10) if Si content is higher than 35wt%. Dry rework process is applicable for removal of a11 films and wet process is also possible for removal ti11 MFHM. However, footing of photoresist profile on MFHM is problematic. We have studied to know exact mechanism of footing and to find its solution. The introduction of inter-1ayer between photoresist and MFHM will be short-term solution. Defbet related to material is another issue in integration. Defect level is still high compared to a-carbon process but is not unbearable. Several approaches to solve footing and defect will be discussed in this paper.
    Download PDF (1891K)
  • Francis M. Houlihan, David Rentkiewicz, Guanyang Lin, Dalil Rahman, Do ...
    2006 Volume 19 Issue 3 Pages 327-334
    Published: 2006
    Released on J-STAGE: August 17, 2006
    JOURNAL FREE ACCESS
    We will give an account of our investigation on structure property relationships of amines with regards to line width roughness (LWR) and line edge roughness (LER) of a 193 nm alicyclic-acrylate resist. Specifically, we have looked at basicity, molar volume and logD as factors which may have an influence of roughness of 80 nm 1:1 L/S features. For relatively hydrophobic amines (Log D > -1), the lower the hydrophilicity at acidic pH the greater the LER and LWR becomes. Specifically, in this range of Log D, more hydrophobic larger amines, with higher basicity, tend to give worse L/S feature roughness. For amines which are more hydrophilic, the relationship becomes more complex with some amines giving a lower LER while others do not. This appears to be predicated on a delicate balance between basicity, hydrophilicy and size.
    Download PDF (2279K)
  • Toshikage Asakura, Hitoshi Yamato, Masaki Ohwa
    2006 Volume 19 Issue 3 Pages 335-342
    Published: 2006
    Released on J-STAGE: August 17, 2006
    JOURNAL FREE ACCESS
    As reported previously, we developed a novel oxime sulfonate type of photoacid generator (PAG), 2-[2,2,3,3,4,4,5,5,6,6,7,7-dodecafluoro-1-(nonafluorobutylsulfonyloxyimino)-heptyl]-fluorene (DNHF), which generates a strong acid (nonaflic acid) by light irradiation and is applicable to chemically amplified ArF photoresists. Recently we have prepared two DNHF analogues with different fluoroalkyl chains adjacent to the oxime moiety, 2-[2,2,3,3,4,4,4-heptafluoro-1-(nonafluorobutyl-sulfonyloxyimino)-butyl]-fluorene (HNBF) and 2-[2,2,3,3,4,4,5,5-octafluoro-1-(nonafluorobutylsulfonyloxyimino)-pentyl]-fluorene (ONPF), and investigated the structure effect. The change of the fluoroalkyl chain did not have a strong impact on photo-efficiency and transparency at 193nm and these PAGs demonstrated superiority to triphenylsulfonium nonaflate (TPSNF) with respect to these criteria. On the other hand, different behaviors were observed in the coating property and contact angle measurement of the photoresists containing these PAGs. The hydrogen atom at the end of the fluoroalkyl chains of DNHF and ONPF was found to have a role in improving the coating property. These non-ionic PAGs were less risky for contamination on the surface of the lens due to insolubility in water. In addition, the effect by these PAGs on increasing hydrophobicity of the photoresist surface was identified. These results suggest that the newly developed non-ionic PAGs are suitable for ArF immersion lithography.
    Download PDF (2235K)
  • Douglas J. Guerrero, Ramil Mercado, Carlton Washburn, Jim Meador
    2006 Volume 19 Issue 3 Pages 343-347
    Published: 2006
    Released on J-STAGE: August 17, 2006
    JOURNAL FREE ACCESS
    The photochemical behavior of 193-nm photosensitive bottom anti-reflective coatings (BARCs) were studied. The effects of exposure dose, post-exposure bake (PEB) temperature, PAG size, and BARC thickness on contrast and the decrosslinking process was investigated. Using higher PEB temperatures improve the BARC contrast. Smaller photogenerated acids diffuse more freely throughout the crosslinked polymer. The decrosslinking process had an energy of activation associated with it and is controlled by the exposure dose and PEB temperature. An optimum BARC thickness for lithography was located at the first reflectivity maximum in the reflectivity curve. At this thickness, the best contrast and lower D50 values are obtained. Lithographic evaluations at these BARC thicknesses using a photoresist showed good performance on 150-nm L/S (1:1.5) on both silicon and silicon dioxide substrates.
    Download PDF (1254K)
  • Kunihiro Ichimura, Kenichi Aoki
    2006 Volume 19 Issue 3 Pages 349-350
    Published: 2006
    Released on J-STAGE: August 17, 2006
    JOURNAL FREE ACCESS
    Download PDF (697K)
  • Shinji Ando
    2006 Volume 19 Issue 3 Pages 351-360
    Published: 2006
    Released on J-STAGE: August 17, 2006
    JOURNAL FREE ACCESS
    Density functional theory (DFT) calculations using the B3LYP hybrid functional have been performed to predict the refractive indices and their dispersion for fluoring-containing compounds which are expected to show high transparency in the vacuum UV (VUV) and the deep UV (DUV) region. The linear polarizabilities at wavelengths of 157, 193, 248, 300, 350, 434, 486, 540, 589, 656, 730 and 800 nm were calculated, and the corresponding refractive indices were estimated by assuming the molecular packing coefficient (Kpp) as 0.56. The refractive indices at 193 nm are linearly proportitional to those at 589 nm for most of the compounds. In addition, the calclulated Abbe numbers representing the refractive index dispersion in the visible region are in linear relationships with the calculated refractive indices at 589 nm. The DFT calculations predict that alicyclic compounds, lactones, siloxanes, nitriles, sulfonylfluorides, and SO3-esters exbihit relatively high refractive indices and good transparency in the DUV region.
    Download PDF (2995K)
  • Takihiro Kozawa, Hiroki Yamamoto, akinori Saeki, Seiichi Tagawa
    2006 Volume 19 Issue 3 Pages 361-366
    Published: 2006
    Released on J-STAGE: August 17, 2006
    JOURNAL FREE ACCESS
    Nanoscale resist topography such as line edge roughness (LER) or line width roughness (LWR) is the most serious concern in sub-100 nm fabrication. In chemically amplified resists for electron beam (EB) and extreme ultraviolet (EUV), acid generators mainly decompose through the reaction with low energy electrons (∼ 0 eV). It has been reported that counter anion distribution is significantly different from proton distribution. Counter anions are inhomogeneously distributed outside a relatively smooth edge of proton distribution. This is caused by the fact that acid generators can react with low energy electrons. To make clear the role of low energy electrons in the resist pattern formation, the distributions of protons and counter anions generated in chemically amplified EB resists were investigated at the exposure dose up to 20 ?C/cm2. The degradation in the slope of image contrast caused by low energy electrons contributes to LER formation in chemically amplified resists for EB lithography.
    Download PDF (1922K)
  • Hiroshi Shiraishi, Hiro Yamamoto, Toshio Sakamizu
    2006 Volume 19 Issue 3 Pages 367-372
    Published: 2006
    Released on J-STAGE: August 17, 2006
    JOURNAL FREE ACCESS
    Supercritical carbon dioxide (scCO2) solubility characteristics of various polyphenols and their derivatives were investigated for the sake of resist materials for scCO2 development. Some 3 nuclei-polyphenols were fairly soluble in scCO2 at the condition of pressure: 15MPa, temperature: 38 °C. Among various subustituent groups, only carboxylic acid COOH structure was a strong dissolution-inhibition factor, but other polar groups, hydroxyl, carboxylic-acid ester structures, such as methyl, ethyl, ter-butyl esters, were not fatal dissolution-inhibition factors in the films for scCO2. It was presumed that existence of hydrogen bonding interaction in the film strongly affect the film dissolution in scCO2.
    Download PDF (2183K)
  • Kyoko Kojima, Takashi Hattori, Hiroshi Fukuda, Taku Hirayama, Daiji Sh ...
    2006 Volume 19 Issue 3 Pages 373-378
    Published: 2006
    Released on J-STAGE: August 17, 2006
    JOURNAL FREE ACCESS
    We report here a novel chemically-amplified negative-tone molecular-resist using 3M6C-MBSA-BL, which is a γ-hydroxycarboxylated polyphenol (4,4'-methylenebis[2-[di(2-methyl-4-hydroxy-5-cyclohexylphenyl)] methyl]phenol (3M6C-MBSA)) for EB and EUV lithographies to be used in hp32 and beyond technology nodes. After selection of photo acid generators (PAGs) and optimization of the concentration of PAG in the resist, we could demonstrate 40-nm line and space patterns resolution by EB exposure. The resist can make negative-tone by means of acid-catalyzed intramolecular-esterification reaction. Also dry-etching durability was confirmed. Small line-edge roughness (LER) values of 4.5 nm (inspection length: L = 620 nm) and 6.2 nm (L = 1800 nm) were achieved using the 3M6C-MBSA-BL resist.
    Download PDF (1747K)
  • Haruki Okumura, Takeshi Matsunobu, Keiko Matsuda, Yoshiharu Ono, Takeo ...
    2006 Volume 19 Issue 3 Pages 379-384
    Published: 2006
    Released on J-STAGE: August 17, 2006
    JOURNAL FREE ACCESS
    We investigated that the structural changes in the depth direction of the bottom layer for multi layer process and the effects on improving the resistance against the dry etching process by H2 plasma curing. Diamond like amorphous carbon structure that included sp2 and sp3 carbon cluster was generated near the surface by H2 plasma treatment. The diamond like amorphous carbon was harder than polymer material and had good resistance to the dry etching process in generally. It was cleared that the thickness of the modified layer was approximately 50nm by means of a spectroscopic ellipsometry. Although the thickness of the modified layer was decreased by the dry etching process, the entire region of the modified layer did not disappear after the dry etching process. Therefore, the resistance of the modified layer against the dry etching process maintained and it was confirmed that the modification (hardening process) by H2 plasma treatment had the effect on improving the resistance of the sample against the dry etching process. In addition, it could be expected that H2 plasma treatment had this effect in plural sample structure.
    Download PDF (1960K)
  • Toru Yamaguchi, Hiroshi Yamaguchi
    2006 Volume 19 Issue 3 Pages 385-388
    Published: 2006
    Released on J-STAGE: August 17, 2006
    JOURNAL FREE ACCESS
    Download PDF (1874K)
  • Ken-ichiro Nakamatsu, Shinji Matsui
    2006 Volume 19 Issue 3 Pages 389-392
    Published: 2006
    Released on J-STAGE: August 17, 2006
    JOURNAL FREE ACCESS
    Download PDF (1317K)
  • Toshiyuki Ono, Nao Honda, Satoshi Mori, Yoshihiko Kono, Atsushi Sekigu ...
    2006 Volume 19 Issue 3 Pages 393-396
    Published: 2006
    Released on J-STAGE: August 17, 2006
    JOURNAL FREE ACCESS
    Download PDF (882K)
  • Junko Katayama, Shigeru Yamaki, Masahiro Mitsuyama, Makoto Hanabata
    2006 Volume 19 Issue 3 Pages 397-402
    Published: 2006
    Released on J-STAGE: August 17, 2006
    JOURNAL FREE ACCESS
    We developed the organic-inorganic hybrid material for UV-nanoimprint lithography (UV-NIL). Silicasol particles were used as inorganic component and modified their surface with photofunctional crosslinkers. These photofunctional silicasol particles were dispersed uniformly into photofunctional monomers with non-solvent systems. They were mixed with other monomers and photoinitiator to prepare various imprint materials. Physical properties and mechanical properties of these materials were examined. The UV-NIL patterns were obtained by using an imprint test machine "LTNIP-5000" from Litho Tech Japan Co. The results showed greatly improved UV hardening properties and physical properties such as refractivity, thermal stability compared to organic (non-hybrid) materials. As a result, 200 nm line and space patterns were successfully imprinted with no shrinkage at pressure of 3.1 MPa and exposure doses of 1 J/cm2.
    Download PDF (2492K)
  • Yuhei Ogomi, Takehito Kato, Shuji Hayase
    2006 Volume 19 Issue 3 Pages 403-408
    Published: 2006
    Released on J-STAGE: August 17, 2006
    JOURNAL FREE ACCESS
    Two crucial research items on dye sensitized solar cells (DSC), increasing photovoltaic performances and solidification of liquid electrolytes, are reported. A direction to increasing photo-voltaic performances of DSCs is proposed. An interface between TiO2/dye and an electrolyte layer is focused on. It is proved that better coverage of TiO2 layers with dye molecules increases photovoltaic performances. The results are discussed by using electron diffusion coefficient and electron life time in TiO2 layers. Another crucial research item is solidification. Liquid electrolytes are quasi-solidified without decreasing the parent liquid type DSCs, where Grotthuss type mechanism are introduced to the solidified electrolytes. Because of this, charge carrier can move swiftly even in the hard clay like electrolytes.
    Download PDF (1095K)
  • Youichi Tsuchiya, Takeshi Arimura, Masanori Tachiya
    2006 Volume 19 Issue 3 Pages 409-411
    Published: 2006
    Released on J-STAGE: August 17, 2006
    JOURNAL FREE ACCESS
    Download PDF (1041K)
  • Hyungduk Ko, Jun Kameoka
    2006 Volume 19 Issue 3 Pages 413-418
    Published: 2006
    Released on J-STAGE: August 17, 2006
    JOURNAL FREE ACCESS
    We report the fabrication and the characterization of photo-crosslinked porous hydrogel membrane via the electrospinning. Poly (ethylene glycol) (PEG) nanofibers were extruded and deposited on poly(ethylene glycol) diacrylate (PEG-DA) macromer, and then they were photo-crosslinked. The morphologies of these photo-crosslinked membrane, especially dimension of porous holes were investigated and it was found that they could be controlled from particle-like shapes to fibrous shapes by PEG concentration. These hydrogel membranes showed high degree of porous morphologies with holes of diameters from 0.78 to 2.4 μm. Therefore, it presents good opportunities for biosensors or biofilters due to surface to volume ratio.
    Download PDF (3166K)
  • Kenji Ogino, Teppei Goma, Daisuke Kageyama, Hisaya Sato, Noriyuki Yone ...
    2006 Volume 19 Issue 3 Pages 419-424
    Published: 2006
    Released on J-STAGE: August 17, 2006
    JOURNAL FREE ACCESS
    Photorefractive active block copolymers were successfully synthesized via an atom transfer radical polymerization of acrylate containing liquid crystalline moiety and hole transporting carbazole moiety. Resulting copolymers were characterized by gel permeation chromatography, NMR, and DSC. Copolymers afforded the transparent films showing birefringent nature. Photorefractive characteristics of copolymers with 2 wt% of trinitro- fluorenone as a photosensitizer were investigated by four wave mixing and two-beam coupling methods with a He-Ne laser. For the block copolymer, higher diffraction efficiency and larger coupling gain were observed compared with the corresponding statistical random copolymer.
    Download PDF (2034K)
  • Hiroyuki Hieda, Yoshitaka Yangita, Akira Kikitsu, Tomoyuki Maeda, Kats ...
    2006 Volume 19 Issue 3 Pages 425-430
    Published: 2006
    Released on J-STAGE: August 17, 2006
    JOURNAL FREE ACCESS
    We apply self-assembly pattern of diblock copolymers for fabrication of patterned magnetic media. FePt dot arrays with 30 nm center-to-center distance have been fabricated using self-assembled pattern formation of polystyrene-polymethylemethacrylate(PS-PMMA) diblock copolymer. The FePt dot arrays have strong perpendicular anisotropy. Increase of coercive force in easy axis from 4.5 kOe for a film structure to 13 kOe for a dot structure is observed. No significant deterioration of crystal structures is observed on the sidewall of the FePt dots which is possibly attributable to weak damage during ion beam etching. Also fabrication of aligned FePt dot arrays on circumferential lines is also demonstrated using assisted self-assembly of PS-PMMA diblock copolymers by groove guide patterns.
    Download PDF (2463K)
  • Norikatsu Sasao, Akiko Hirao, Rumiko Hayase, Kazuki Matsumoto, Takahir ...
    2006 Volume 19 Issue 3 Pages 431-434
    Published: 2006
    Released on J-STAGE: August 17, 2006
    JOURNAL FREE ACCESS
    Download PDF (1157K)
feedback
Top