-
Hiroshi Ikeda
2008 年 21 巻 3 号 p.
327-332
発行日: 2008/06/24
公開日: 2008/08/19
ジャーナル
フリー
The characteristics of photoinduced electron-transfer reactions, thermoluminescence, and organic light-emitting diodes (OLEDs) using arylated methylenecyclopropanes are described from a mechanistic perspective. A mechanistically unique OLED, called an "organic radical light-emitting diode," or ORLED, was designed that makes use of an electronically excited triplet trimethylenemethane biradical. The ORLED approach has the potential of overcoming major problems associated with typical OLED systems, such as difficulties in obtaining long wavelength emissions, low quantum efficiency, and low device durability.
抄録全体を表示
-
Miki Hasegawa, Ayumi Ishi, Koichiro Furukawa, Hideki Ohtsu
2008 年 21 巻 3 号 p.
333-338
発行日: 2008/06/24
公開日: 2008/08/19
ジャーナル
フリー
A Novel coordination system with ff-emission of Tb(III) was obtained on a polyvinyl alcohol (PVA) film surface. On this film the ff-emission is polarized, and is intensified by polymer stretching. XAFS spectra show that the mean bond distances between Tb(III) and the nearest neighbour atoms of the 1,10,-phenanthroline ligand on the film are close to those in [Tb(SA)
3], Tb(NO
3)H
2O and [Tb(phen)
2(NO
3)
3].
抄録全体を表示
-
Philaiwan Aiamsen, Piched Anuragudom, Sairoong Saowsupa, Sukon Phanich ...
2008 年 21 巻 3 号 p.
339-346
発行日: 2008/06/24
公開日: 2008/08/19
ジャーナル
フリー
This paper describes a simple strategy for preparing light-emitting poly(9,9-didecy1fluoreny1-2,7-vinylene) (PFV) by Horner-Emmons polymerization using aromatic dialdehydes and diphosphonates as monomers in the presence of potassium tert-butoxide. The Horner-Emmons polycondensation gave samples of PFV with moderate molecular weights and no detectable saturated defects along the conjugated backbone. The samples of PFV were readily soluble in common organic solvents due to the presence of the flexible alkyl side chains at the C-9 position of the fluorene unit. The structure and optical properties of the PFVs were characterized by gel permeation chromatography (GPC), NMR spectroscopy, UV-vis spectroscopy, and fluorescence spectroscopy.
抄録全体を表示
-
Gabin Treboux, Junji Mizukami, Masayoshi Yabe, Shinichiro Nakamura
2008 年 21 巻 3 号 p.
347-348
発行日: 2008/06/24
公開日: 2008/08/19
ジャーナル
フリー
-
Junpei Kuwabara, Takaki Kanbara
2008 年 21 巻 3 号 p.
349-353
発行日: 2008/06/24
公開日: 2008/08/19
ジャーナル
フリー
Pd and Pt complexes having a pincer ligand with thioamide units were prepared and investigated for photoluminescent material. The intensity of photoluminescence depends on a choice of a ligand on the metal center and structure of the pincer ligand. Light-emitting diodes using the complexes as an emitting layer showed red or reddish orange electroluminescence.
抄録全体を表示
-
Shinaya Takizawa, Jun-ichi Nishida, Yoshiro Yamashita
2008 年 21 巻 3 号 p.
355-356
発行日: 2008/06/24
公開日: 2008/08/19
ジャーナル
フリー
-
Yun Chi, Pi-Tai Chou
2008 年 21 巻 3 号 p.
357-362
発行日: 2008/06/24
公開日: 2008/08/19
ジャーナル
フリー
This paper presents the case studies on the syntheses and characterization of three third-row transition metal complexes, all of them are associated with at least one functionalized 2-pyridyl pyrazolate chelates. Each of these complexes possesses distinctive central metal atom and shows highly efficient phosphorescent emission ranging from red, green and blue of the visible spectrum. Their photophysical properties are briefly discussed, including the assignment of their fundamental absorption and emission characteristics. Finally, performance data on fabrication of phosphorescent OLEDs using these complexes as emitters are presented.
抄録全体を表示
-
Bénédicte Mortini, Cédric Monget, Fabrice Baron, ...
2008 年 21 巻 3 号 p.
363-369
発行日: 2008/06/24
公開日: 2008/08/19
ジャーナル
フリー
Contact hole patterns are becoming one of the most challenging lithographic process for 45nm node and below. In this study, we first screen some 193nm resist formulations to achieve 80nm contact holes with a DOF superior to 250nm for dense pitches, 150nm for isolated with immersion lithography and focus scan option. In addition to this process window requirement, we also consider the MEEF behaviour of these resist samples as a function of the lithographic process. We encountered a trade-off between DOF performance and MEEF. With our best sample, large DOF is promoted by focus scan and photoacid diffusion whereas it strongly affects the MEEF value. Process tricks which tend to enhance chemical contrast within the resist, such as overbaking PEB and double PEB step have thus been applied. First results are interesting though these process variations do not allow fully compensating the image blur induce by the focus scan.
抄録全体を表示
-
Sangjin Kim, Dongchul Seo, Hyunsang Joo
2008 年 21 巻 3 号 p.
371-375
発行日: 2008/06/24
公開日: 2008/08/19
ジャーナル
フリー
The platform of ArF resin has been developing for several generations. ArF resin of each generation had to improve their character for the satisfaction of lithographic performance. Nowadays, we need the characters of good transmittance, resolution, relatively high etch resistance, Low line width roughness(LWR), in some cases, good resist reflow process (RFP). To solve these needs, we tried to approach in the view of morphology and physical properties of ArF resin. First of all, we performed polymer modeling with simulation, and also carried out actual synthesis. It was concluded that we did make a comparison between result of simulation and actual synthesis.
抄録全体を表示
-
Yuichi Nishimae, Hitoshi Yamato, Toshikage Asakura, Masaki Ohwa
2008 年 21 巻 3 号 p.
377-381
発行日: 2008/06/24
公開日: 2008/08/19
ジャーナル
フリー
So far, we have developed and reported a series of novel oxime sulfonate type of photoacid generators (PAG) composed of a fluorenyl chromophore like 2-[2,2,3,3,4,4,5,5-octafluoro-1-(nonafluorobutylsulfonyloxyimino)-pentyl]-fluorene (ONPF), which generates a strong acid (nonaflic acid) by light irradiation and is promising for chemically amplified ArF photoresists. They generate a fluorinated alkylsulfonium acid as a strong acid, have high transparency at 193 nm, and show higher hydrophobicity than TPSnf, good solubility in various solvents, and good thermal stability. However, it has been observed that such fluorenyl PAGs did not have a good coating property, and showed a few striation patterns after spin-coating of some formulations. To improve the striation issue while keeping the desirable properties, replacement of the chromophore was examined, and it has been revealed that 8-[2,2,3,3,4,4,5,5-octafluoro-1-(nonafluorobutylsulfonyloxyimino)-pentyl]-fluoranthene (PAG A) composed of a fluoranthenyl chromophore and 4''-[2,2,3,3,4,4,5,5-octafluoro-1-(nonafluorobutylsulfonyloxyimino)-pentyl]-[1,1',4',1'']terphenyl (PAG B) could dramatically improve the coating property and the P-parameter was comparable to that of ONPF. This improvement is due to enhancement of compatibility toward a polymer matrix composed of high carbon content. Therefore, it has been observed that higher carbon content in a PAG molecule provides better coating property.
抄録全体を表示
-
Francis Houlihan, Shinji Miyazaki, Alberto Dioses, Lin Zhang, Yuki Uba ...
2008 年 21 巻 3 号 p.
383-392
発行日: 2008/06/24
公開日: 2008/08/19
ジャーナル
フリー
We review approaches that we have developed at 193 and 248 nm for first and second generation Radiation Sensitive Developable Bottom Anti Reflective Coatings (DBARC) and give an account of our most recent results for both types of DBARC's.
抄録全体を表示
-
Manabu Tanaka, Abhinav Rastogi, Nelson M. Felix, Chrisopher Ober
2008 年 21 巻 3 号 p.
393-396
発行日: 2008/06/24
公開日: 2008/08/19
ジャーナル
フリー
-
Dario L. Goldfarb, Libor Vyklicky, Sean D. Burns, Karen Petrillo, John ...
2008 年 21 巻 3 号 p.
397-404
発行日: 2008/06/24
公開日: 2008/08/19
ジャーナル
フリー
A spin-on organic Graded Bottom AntiReflective Coating (GBARC) was developed to enable appropriate reflectivity control during advanced photoresist patterning using 193nm immersion lithography up to 1.35 NA (limits of hyper-NA lithography using water as immersion fluid). This novel technology constitutes a simplified reflectivity control approach over more conventional schemes such as dual-layer BARCs, trilayer stacks or single layer BARCs. The GBARC layer is formed upon vertical phase separation of optically tuned polymeric components present in a GBARC casting solution during the spin-coating and post-applied baking steps. The substrate surface energy is found to dictate the direction of the spatial assembly for any given phase-separating polymer blend combination. The index of refraction (n
193) of the GBARC polymeric components is approximately matched to that of the photoresist and substrate layers for optimum reflectivity control, while the extinction coefficient (k
193) increases gradually towards the substrate. Analytical characterization of the varying optical constants throughout the GBARC film is achieved by Variable Angle Spectroscopic Ellipsometry (VASE) which allows for a quantitative description of the optical gradient present within the GBARC layer. The optical gradient sharpness is shown to be impervious to total GBARC thickness variations at constant relative polymer mass loading as well as changes in the relative mass loading of polymeric components at fixed total GBARC thickness. Also, the link between image profile afforded by various levels of reflectivity control and the mechanical stability of photoresist nanostructures is investigated at 1.2NA by designing GBARC formulations with variable residual reflectivity. Substrate reflectivity in excess of 1% is shown to limit the focus-exposure window due to pattern collapse. Last, adhesion of 193 nm photoresist patterns to a GBARC film is compared to a commercially available single layer BARC. Enhanced adhesion is demonstrated in the case of the GBARC surface, despite the low surface energy that typifies GBARC layers
抄録全体を表示
-
Gensu Lee, Kigak Hong, Hyunyul Park, Hyungdo Kim, Anho Choi, Jonghyeop ...
2008 年 21 巻 3 号 p.
405-408
発行日: 2008/06/24
公開日: 2008/08/19
ジャーナル
フリー
We found unusual pattern bridge after patterning. We traced all possible sources not only materials used at photo mask step but also air bone contaminants. Finally, we found that wafers were contaminated from temperature control unit (TCU) for cooling lens. However, it is a question which one is directly related among motor oil and cooling gas used in TCU. Polyakyleneglycol is the main component of TCU motor oil and chilling gas is 1,1,1,2-tetrafluoroethane (TFE). TFE can be changed into mist when it meets with H
2O or other components in the air. They can have an effect on photoresist pattern. Finally, we came to the conclusion that splashed motor oil is the origin of pattern bridging between the two. We solved this bridge issue by introducing acryl wall around TCU.
抄録全体を表示
-
Toshiro Itani, Daisuke Kawamura, Koji Kaneyama, Shinji Kobayashi
2008 年 21 巻 3 号 p.
409-414
発行日: 2008/06/24
公開日: 2008/08/19
ジャーナル
フリー
Current status of EUV resists including imaging performance and resist out-gassing were described. As a result of resist benchmarking using small field exposure tool that has stand alone EUV source and 0.3 numerical aperture projection optics, resolution limit of 25nm was obtained in certain resist material. This is potential candidate for below 32nm node devices fabrication. On the other hand, sensitivity of 20-30mJ/cm
2 and line width roughness of 4-7nm should be improved. Moreover, as a result of resist out-gassing analysis using originally designed out-gassing evaluation tool, the resist out-gassing was not large for initial exposure tool, but it should be minimized for volume manufacturing exposure tool. In the near future, completely new resist material and/or new resist processing are strongly expected. Furthermore fundamental research such as understanding reaction mechanism of resist pattern formation and synthesis of new resin and photo-acid generator are still needed to realize 22nm node device manufacturing.
抄録全体を表示
-
James W. Thackeray, Emad Aqad, Michael F. Cronin, Kathaleen Spear-Alfo ...
2008 年 21 巻 3 号 p.
415-420
発行日: 2008/06/24
公開日: 2008/08/19
ジャーナル
フリー
This paper discusses the development of an improved EUV Resist, XP-6627A, which easily resolves sub-30nm features at a photospeed of 12mJ/cm
2. This new resist is designed for increased acid yield from greater secondary electron generation. XP-6627A has comparable resolution to XP-6627G, with a concomitant photospeed improvement of >50%. Due to the high activation nature of the resist, the best postexposure bake condition is 130°C for 90s. This material also has an ultralow diffusion coefficient as derived from CD vs PEB time studies. Finally, due to the low volatility of the resist components, the resist has low outgassing rates.
抄録全体を表示
-
Takahiro Kozawa, Seiichi Tagawa, Julius Joseph Santillan, Toshiro Itan ...
2008 年 21 巻 3 号 p.
421-427
発行日: 2008/06/24
公開日: 2008/08/19
ジャーナル
フリー
In chemically amplified resists, acids catalyze pattern formation reactions with acid diffusion in the presence of quenchers, starting with the initial acid distribution as a boundary condition. Diffusion coefficients have been intensively investigated and reported to depend on the condition of the matrix. In this study, the effect of nonconstant diffusion coefficients was investigated using a simulation based on the reaction mechanisms of chemically amplified extreme ultraviolet resists. It was found that nonconstant diffusion coefficients have a significant impact on the resolution, sensitivity, and image quality (line edge roughness) in 22 nm fabrication.
抄録全体を表示
-
Roel Gronheid, Frieda Van Roey, David Van Steenwinckel
2008 年 21 巻 3 号 p.
429-434
発行日: 2008/06/24
公開日: 2008/08/19
ジャーナル
フリー
Previously we have proposed a resist Figure of Merit K
LUP that describes the performance of a resist material for Resolution, Line-Width Roughness and Sensitivity in a single number. In the present work we demonstrate how this approach can be used to understand the effects of film thickness variation and PAG loading on the performance of EUV resist formulations. It is found that lower film thickness tends to decrease the sizing dose and increase LWR. These effects can be quantitatively described with the K
LUP theory. Increasing PAG loading for EUV resists increases the probability for a secondary electron to react with a PAG molecule and therefore increases quantum yield and decreases K
LUP. Finally the approach is used to compare the relative performance of conventional EUV resists with that of polymer-bound PAG resists. It is found that the latter have potential for improved overall performance and superior LWR results.
抄録全体を表示
-
Shota Suzuki, Yasuyuki Fukushima, Ryuji Ohnishi, Takeo Watanabe, Hiroo ...
2008 年 21 巻 3 号 p.
435-438
発行日: 2008/06/24
公開日: 2008/08/19
ジャーナル
フリー
Extreme ultraviolet interference lithography (EUVIL) beamline which employed a single grating was constructed at the BL3 beamline in NewSUBARU synchrotron radiation facility. Bending magnet was attempted as a light source, and Ta layer was employed as an absorber layer of 0th order light in transparent grating. Using this system, 400-nm L&S resist pattern was replicated on a wafer, which shows possibility of EUV interference lithographic technology employing bending magnet as a light source.
抄録全体を表示
-
Katsuhiro Yamashita, Sou Kamimura, Hidenori Takahashi, Naoyuki Nishika ...
2008 年 21 巻 3 号 p.
439-442
発行日: 2008/06/24
公開日: 2008/08/19
ジャーナル
フリー
It had been reported that LWR and resolution were improved according to loading amount of quencher along with a decrease of sensitivity. Influences of quencher and PAG anion size on acid diffusion in acetal protected PHS-based polymer were examined and it was found that acid diffusion length is mainly controlled by quencher, resulting in the improvement of LWR and resolution as well as the decrease of sensitivity by way of reducing acid diffusion length. In order to compensate for the sensitivity loss, we investigated the effect of PAG structures and properties on acid generation and it was found that acid generation efficiency increased with the reduction potential of PAG. In this paper, we discussed how to solve the dilemma among sensitivity, resolution and LWR in EUV lithography, by applying high-efficiency PAG under high quencher concentration.
抄録全体を表示
-
Hiroaki Oizumi, Takafumi Kumise, Toshiro Itani
2008 年 21 巻 3 号 p.
443-449
発行日: 2008/06/24
公開日: 2008/08/19
ジャーナル
フリー
We have developed new negative-tone molecular resists based on phenyl calix[4]resorcinarene derivatives, and evaluated their EUV patterning performance. EUV imaging experiments were performed using the high-numerical-aperture (NA = 0.3), small-field EUV exposure tool (HINA). Patterning results showed the resolution of the resist on an organic layer substrate to be 29 nm at an EUV exposure dose of 22.2 mJ/cm
2 and the obtainable aspect ratio to be as high as 2, with pattern collapse being markedly suppressed. In addition, we analyzed the outgassing of the resists during EUV exposure. The outgassing rates of the new resins were on the same order as that of conventional poly(p-hydroxystylene) (PHS) resin. On the other hand, the outgassing rates of the new resists were about five times higher than that of conventional PHS-based resist. Quadropole mass spectra showed the main source of resist outgassing to be EUV-induced decomposition of the photoacid generator.
抄録全体を表示
-
Dougllas J. Guerrero, Carol Beaman, Rikimaru Sakamoto, Takafumi Endo, ...
2008 年 21 巻 3 号 p.
451-455
発行日: 2008/06/24
公開日: 2008/08/19
ジャーナル
フリー
The density, absorbance, and outgassing of underlayer films and the effect of EUV irradiation on such films were evaluated. The relationship between density and calculated EUV absorbance was determined. Films having lower film density have lower EUV absorbance, but this phenomena can be offset by adding a halogen into the polymer matrix. Most materials have low outgassing during EUV exposure when compared to industry standard targets. Surface analysis using contact angle and optical characterization showed good stability of underlayer films under EUV irradiation.
抄録全体を表示
-
Robert Brainard, Craig Higgins, Elsayed Hassanein, Richard Matyi, Andr ...
2008 年 21 巻 3 号 p.
457-464
発行日: 2008/06/24
公開日: 2008/08/19
ジャーナル
フリー
Base titration methods are used to determine C-parameters for twenty EUV photoresist platforms. X-ray reflectometry is used to measure the density of these resists, and leads to the determination of absorbance and film quantum yields (FQY). Ultrahigh levels of Photoacid Generator (PAG) show divergent mechanisms for production of photoacids beyond PAG concentrations of 0.35 moles/liter. The FQY of sulfonium PAGs level off, whereas resists prepared with iodonium PAG show FQYs that increase beyond PAG concentrations of 0.35 moles/liter, reaching record highs of 8-13 acids generated/EUV photons absorbed.
抄録全体を表示