Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
Volume 22, Issue 1
Displaying 1-18 of 18 articles from this issue
  • James V. Crivello
    2009 Volume 22 Issue 1 Pages 3-5
    Published: June 30, 2009
    Released on J-STAGE: July 20, 2009
    JOURNAL FREE ACCESS
    The Photopolymer Science and Technology Award No.091100, the Outstanding Achievement Award 2009, was presented to James V. Crivello (Professor, Rensselaer Polytechnic Institute) for his outstanding achievement in photopolymer science and technology, "Invention of photoacid generators and its contribution to advancement of microlithography".
    Download PDF (83K)
  • Dario L. Goldfarb, Libor Vylicky, Sean D. Burns, Karen Petrillo, John ...
    2009 Volume 22 Issue 1 Pages 7-11
    Published: June 30, 2009
    Released on J-STAGE: July 20, 2009
    JOURNAL FREE ACCESS
    The Photopolymer Science and Technology Award No. 092100, the Best Paper Award 2009, was presented to Dario L. Goldfarba, Libor Vyklickya, Sean D. Burnsa, Karen Petrillob, John Arnoldb, Anthony Lisib, Dirk Pfeiffera, Daniel P. Sandersc, Robert D. Allenc, David R. Medeirosb, Dah Chung Owe-Yangd, Kazumi Nodae, Seiichiro Tachibanae, and Shozo Shiraie (aIBM T.J. Watson Research Center, bIBM Systems & Technology Group, cIBM Almaden Research Center, dShin-Etsu MicroSi, Inc., eShin-Etsu Chemical Co.) for their outstanding contribution published in the Journal of Photopolymer Science and Technology, 21(3), 397-404 (2008), entitled "Graded Spin-on Organic Bottom Antireflective Coating for High NA Immersion Lithography".
    Download PDF (656K)
  • Okano Kunihiko, Yasuyuki Mikami, Hirobumi Shibata, Takashi Yamashita
    2009 Volume 22 Issue 1 Pages 13-15
    Published: June 30, 2009
    Released on J-STAGE: July 20, 2009
    JOURNAL FREE ACCESS
    The Photopolymer Science and Technology Award No. 092200, the Best Paper Award 2009, was presented to Kunihiko Okano, Yasuyuki Mikami, Hirobumi Shibata and Takashin Yamashita, all from Tokyo University of Science for their outstanding contribution published in Journal of Photopolymer Science and Technology 21(4), 549-552 (2008), entitled "Development of Novel Azobenzene Derivatives Showing a Room Temperature Liquid-Crystalline Phase and Their Photoresponsive Behavior".
    Download PDF (168K)
  • Libor Vyklicky, Wu-Song Huang, Irene Popova, Pushkara Rao Varanasi, Ja ...
    2009 Volume 22 Issue 1 Pages 17-24
    Published: June 30, 2009
    Released on J-STAGE: July 20, 2009
    JOURNAL FREE ACCESS
    A new family of materials has been developed to serve as a wet-developable bottom antireflective coating (D-BARC) for patterning levels that have a strong need to avoid dry-etch processes for BARC-open steps. Such include some implant levels, where dry-etch introduces surface damage that consequently affects the final electrical performance of a device. Other levels that might benefit from all-wet patterning are those using special substrates such as high-k metal-gate (HKMG) levels. Our design of D-BARC materials combines the unique properties of traditional BARC as well as those of a photoresist to deliver a D-BARC solution that is photoimageable in nature. It was found that isotropically developable (i.e., non-imageable) D-BARCs do not provide viable solutions that satisfy the resolution requirements of the current 32 nm technology node and provide the extendibility to future nodes. The optical properties of the D-BARC material are critical for reflectivity control but high optical density can negatively impact the imaging performance of the material. Therefore a balance is needed where the D-BARC is co-optimized with the photoresist as a system, achieves good reflectivity control, residue-free imaging and process gains.
    Download PDF (3800K)
  • Robert D. Allen, Phillip J. Brock, Young-Hye Na, Mark H. Sherwood, Hoa ...
    2009 Volume 22 Issue 1 Pages 25-29
    Published: June 30, 2009
    Released on J-STAGE: July 20, 2009
    JOURNAL FREE ACCESS
    A study of polymerization and some of the resulting properties of PAG-bound resist polymers based on a new triphenyl sulfonium fluoroalkyl sulfonate-containing methacrylate monomer is reported here. A low activation ester terpolymer containing this onium salt monomer was prepared and characterized. Our findings include the profound impact of residual monomer in the behavior of this material and the critical importance of polymer purification to remove unreacted ionic monomers in the preparation of polymer-bound PAGs. We have developed a polymer isolation process specifically tailored to remove unbound PAG so that the properties could be unambiguously investigated. And we report here the influence on properties of bound vs unbound PAG in this chemically amplified resist.
    Download PDF (1523K)
  • Stefan Wurm
    2009 Volume 22 Issue 1 Pages 31-42
    Published: June 30, 2009
    Released on J-STAGE: July 20, 2009
    JOURNAL FREE ACCESS
    Extreme ultraviolet lithography (EUVL) is the main contender for high volume semiconductor lithography patterning at the 22 nm half-pitch node. The most aggressive semiconductor manufacturers target pilot line introduction of this technology in 2011/12 and high volume manufacturing insertion in 2013/14. This requires the infrastructure of the supply chain supporting the technology-light sources, masks, and resists-to be ready once pilot line exposure tools are delivered to customers. Although improvements are still needed, the current status of infrastructure technology readiness suggests that it will support the targeted pilot line insertion date. However, to support high volume manufacturing introduction, more significant developments are still required to address technical and business challenges. These include demonstrating reliable high power EUV sources and enabling a commercial mask tool infrastructure that can support sub-20 nm defect inspection and review requirements.
    Download PDF (3942K)
  • Robert Brainard, Seth Kruger, Craig Higgins, Srividya Revuru, Sarh Gib ...
    2009 Volume 22 Issue 1 Pages 43-50
    Published: June 30, 2009
    Released on J-STAGE: July 20, 2009
    JOURNAL FREE ACCESS
    This paper describes the lithographic properties of eleven acid amplifiers (AAs) and the chemical modeling approach used to predict their thermal stability in an ESCAP polymer resist system at 70 and 110°C. Specifically, we show how added AAs affect the sensitivity (E0 and Esize), resolution, line edge roughness (LER), exposure latitude, and Z-parameter of ESCAP resists. We found that acid amplifiers that generate fluorinated sulfonic acids give the best combination of sensitivity, LER, and exposure latitude. Additionally, we show that these compounds are not photochemically active. Five compounds were evaluated using 19F NMR kinetics. Combining thermodynamic and kinetic modeling has allowed us to predict the relative enthalpies of activation for catalyzed and uncatalyzed decomposition pathways and compare the results to experimental thermal stability tests.
    Download PDF (477K)
  • Takahiro Kozawa, Seiichi Tagawa
    2009 Volume 22 Issue 1 Pages 51-58
    Published: June 30, 2009
    Released on J-STAGE: July 20, 2009
    JOURNAL FREE ACCESS
    The image formation in conventional non-chemically amplified photoresists has been investigated and theorized. Image formation theories have been extended to chemically amplified KrF and ArF resists by introducing the effects of acid diffusion. After ArF immersion lithography, extreme ultraviolet (EUV) radiation is expected as an exposure tool for mass production of semiconductor devices. In EUV resists, secondary electrons play a major role in the sensitization of acid generators because the energy of EUV photons (92.5 eV) is higher than the ionization potential of resist materials. The effects of secondary electrons should be taken into account for the discussion of image formation in EUV resists. In this study, the dependence of chemical gradient on the aerial image contrast of incident photons was investigated on the basis of the sensitization mechanisms of chemically amplified EUV resists. The relationship between aerial image contrast and chemical gradient depends on the neutralization mechanisms, the rate constant for deprotection, quencher concentration, and dissolution point. To minimize line edge roughness, it is necessary to optimize not only quencher concentration but also dissolution point in accordance with the aerial image contrast.
    Download PDF (1156K)
  • Toshihiro Itani, Hiroaki Oizumi, Koji Kaneyama, Daisuke Kawamura, Shin ...
    2009 Volume 22 Issue 1 Pages 59-64
    Published: June 30, 2009
    Released on J-STAGE: July 20, 2009
    JOURNAL FREE ACCESS
    Significant progress has been made in the development of extreme ultraviolet lithography (EUVL). EUV resists continue to improve towards its targets for sensitivity, resolution limit, and line width roughness (LWR). An update to benchmark the latest results of high performance EUV resists is reported. Also, the details of initial work made on resist enhancement processes such as alternative aqueous rinse solutions and resist bake in-vacuum, for the reduction of LWR, are discussed. In addition, the latest results of ongoing manufacturability evaluation of EUVL at Selete are presented.
    Download PDF (3175K)
  • James W. Thackeray, Emad Aqad, Su Jin Kang, Kathleen Spear-Alfonso
    2009 Volume 22 Issue 1 Pages 65-71
    Published: June 30, 2009
    Released on J-STAGE: July 20, 2009
    JOURNAL FREE ACCESS
    This paper discusses the relative merits of traditional PAG blend resist approach vs a novel Polymer-bound PAG resist approach. In looking at EUV exposures it is shown that the Polymer-bound PAG approach possesses advantages in LWR and Resolution over a PAG blend resist approach. The Polymer-bound PAG approach achieves 25nm half pitch resolution with an LWR of 3.8nm and a photospeed of 10mJ. The Polymeric PAG approach also possesses higher exposure latitude vs a PAG blend resist. Although still maturing, it is felt that polymer-bound PAG-based resists will become quite useful at the 22nm node and below.
    Download PDF (1514K)
  • Tadatomi Nishikubo, Hiroto Kudo, Yuji Suyama, Hiroaki Oizumi, Toshihir ...
    2009 Volume 22 Issue 1 Pages 73-76
    Published: June 30, 2009
    Released on J-STAGE: July 20, 2009
    JOURNAL FREE ACCESS
    Download PDF (845K)
  • Hideaki Tsubaki, Tooru Tsuchihashi, Tomotaka Tsuchimura
    2009 Volume 22 Issue 1 Pages 77-84
    Published: June 30, 2009
    Released on J-STAGE: July 20, 2009
    JOURNAL FREE ACCESS
    Polymer ionization and reductive sensitization of PAG play an important role on acid generation in EUV lithography. We have systematically investigated effects of PAG structure, polymer structure and their loadings on sensitivity of EUV resists. With an increase in PAG loading, both sensitivity and acid generation yield were successfully improved, however, these were saturated at higher PAG loadings. Least-square fitting of sensitivity as a function of PAG loading, polymer loading and quencher loading indicates that both PAG and polymer have a positive effect on sensitivity improvement, and contribution ratio of polymer to PAG on sensitivity is estimated as 1 to 2. This indicates that decrease of polymer loading in place of increasing PAG loading reduce ionization frequency of polymer. To further improve sensitivity, we have synthesized a series of PAGs to clarify how large the electron affinity of PAG affects acid generation yield. A linear relationship between the reduction potential of PAG and EB sensitivity clearly revealed that the strong electron affinity of PAG causes both high acid yield and sensitivity. To further increase acid generation yield, we have synthesized a series of polymers to clarify how polymer structure affects sensitivity. Actually, acid generation yield and sensitivity were both improved by using a newly developed polymer in EUV lithography. Resolution and LWR were improved by utilizing new PAG with shortest diffusion length.
    Download PDF (549K)
  • Yasuyuki Fukushima, Takeo Watanabe, Tetuo Harada, Hiroo Kinoshita
    2009 Volume 22 Issue 1 Pages 85-88
    Published: June 30, 2009
    Released on J-STAGE: July 20, 2009
    JOURNAL FREE ACCESS
    We evaluated the transmittance and the sensitivity of the chemically amplified (CA) resist including various kind of photo acid generator (PAG) to clarify cause-and-effect relationship between the photo-absorption of PAG and the sensitivity under extreme ultraviolet (EUV) exposure. Transmission measurements and sensitivity measurements were carried out at the BL10 and BL3 beamlines in NewSUBARU synchrotron radiation facility. It is confirmed that increasing the atomic absorption cross section of an anion of PAG is effective in improving the sensitivity of the CA resist in EUVL.
    Download PDF (558K)
  • Toshikage Asakura, Hitoshi Yamamoto, Yuichi Nishimae, Keizo Okada, Mas ...
    2009 Volume 22 Issue 1 Pages 89-95
    Published: June 30, 2009
    Released on J-STAGE: July 20, 2009
    JOURNAL FREE ACCESS
    Extreme Ultraviolet (EUV) has already achieved the initial requirements for 32 nm DRAM half pitch lithography rule and is known as one of the most promising next generation lithography techniques to be realized for 22 nm patterning technology though strict requirements for the power of the light sources, lithographic performance of the photoresist and the manufacturing and inspection of masks are still remaining.
    In this report, we investigated the photolithographic characteristics of Photoacid Generator (PAG) additive approach in EUV lithography with different polymer platforms and sulfonium-type PAGs compared with other exposure techniques to understand the relationship between lithography results and photoresist materials. Four different sulfonium nonafluorobutanesulfonate; triphenylsulfonium nonafluorobutanesulfonate (TPS), tri(4-methoxy-3,5-dimethylphenyl) sulfonium nonafluorobutanesulfonate (MDP), tri(4-methoxy-3-methylphenyl)sulfonium nonafluorobutanesulfonate (MMP) and tri(4-methoxy-3-phenylphenyl)sulfonium nona-fluorobutanesulfonate (MPP) were employed as PAG in order to study the fundamental properties, such as sensitivity, photo-efficiency, lithographic performance , in the two different model formulations, poly(hydroxystyrene) (PHS) type and poly(methacrylates)-type, under three different exposures of 193 nm (ArF), Electron Beam (EB) and EUV.
    Download PDF (222K)
  • Roel Gronheid, Benjamin Rathsack, Sophie Bernard, Alexandro Vaglio Pre ...
    2009 Volume 22 Issue 1 Pages 97-104
    Published: June 30, 2009
    Released on J-STAGE: July 20, 2009
    JOURNAL FREE ACCESS
    High NA immersion and EUV lithography processes are challenged to meet stringent control requirements for the 22nm node and beyond. Lithography processes must balance resolution, LWR and sensitivity (RLS) performance tradeoffs while scaling resist thickness to 100nm and below. Hardware modules including coat, bake and development seek to enable resist processes to balance RLS limitations. The focus of this paper is to study the fundamentals of the RLS performance tradeoffs through a combination of calibrated resist simulations and experiments. This work seeks to extend the RLS learning through the creation of calibrated resist models that capture the exposure kinetics, acid diffusion properties, deprotection kinetics and dissolution response as a function of PAG loading in a 193nm polymer system. The calibrated resist models are used to quantify the resolution and sensitivity performance tradeoffs as well as the degradation of resist contrast relative to image contrast at small dimensions. Calibrated resist simulations are capable of quantifying resolution and sensitivity tradeoffs, but lack the ability to model LWR. LWR is challenging to simulate (lattice models) and to measure due to the dependence on spectral frequency.
    Download PDF (3504K)
  • Takehiro Fukuyam, Takahiro Kozawa, Hiroki Yamamoto, Seiichi Tagawa, Ma ...
    2009 Volume 22 Issue 1 Pages 105-109
    Published: June 30, 2009
    Released on J-STAGE: July 20, 2009
    JOURNAL FREE ACCESS
    Films of chemically amplified resists with different polymer polarity and film thickness were prepared to investigate their effect on acid generator distribution within the film. Poly(4-hydroxystyrene) (PHS) was used as a polymer, and its polarity was changed by partially protecting its hydroxyl groups. Diphenyliodonium-triflate (DPI-tf) and triphenylsulfonium-antimonate (TPS-Sb) were chosen as an acid generator to enhance the density contrast within the film. Films with acid generator concentration of 10 and 30 wt% were prepared for the non-protected PHS resist film and also films with different thicknesses were prepared for 10 wt% concentration samples. For the partially protected PHS resist films, two films with different thicknesses were prepared for 30 wt% TPS-Sb and 10 wt% DPI-tf films. X-ray reflectivity measurements were performed against the films to investigate the depth density profile. The acid generator distribution was found to be inhomogeneous in most of the films, and the distribution showed film thickness and polarity dependences.
    Download PDF (425K)
  • Masamitsu Shirai, Koichi Maki, Haruyuki Okamura, Koji Kaneyama, Toshir ...
    2009 Volume 22 Issue 1 Pages 111-116
    Published: June 30, 2009
    Released on J-STAGE: July 20, 2009
    JOURNAL FREE ACCESS
    Non-chemically amplified negative resist for EUV lithography was designed and the resist property was studied. Photo-induced thiol/ene radical reaction was used to insolubilize the resist based on poly(4-hydroxystyrene) (PHS) derivatives. OH groups of PHS were modified with allyl, norbornen, or methacrylate functions. Dissolution property of the modified-PHS in TMAHaq solution was studied. The degree of the modification of PHS strongly affected the solubility of the modified-PHS in TMAHaq. Resist was formulated as a mixture of modified-PHS, multifunctional thiol compound, and photo-radical generator. Photo-sensitivity of the resist was studied at 254 nm and 13.5 nm. The sensitivity was affected by the concentration of thiol compound added. It was found that the present resist system was highly sensitive (5~6 mJ/cm2) to EUV exposure.
    Download PDF (896K)
  • Douglas J. Guerrero, Hao Xu, Ramil Mercado, James Blackwell
    2009 Volume 22 Issue 1 Pages 117-122
    Published: June 30, 2009
    Released on J-STAGE: July 20, 2009
    JOURNAL FREE ACCESS
    Extreme ultraviolet (EUV) lithography has gained momentum as the method of choice for <32-nm half-pitch device fabrication. In this paper, we describe our initial efforts to increase the EUV resist's performance via introduction of a thermally crosslinkable underlayer. We have demonstrated the benefits of adding an EUV underlayer into the regular EUV litho stack and investigated the effect of underlayer film thickness, post-coat bake temperature, and adding other additives such as PAG and sensitizer on the overall litho performance of EUV resists.
    Download PDF (2220K)
feedback
Top