Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
Volume 23, Issue 1
Displaying 1-29 of 29 articles from this issue
  • Koji Nozaki
    2010 Volume 23 Issue 1 Pages 3-4
    Published: June 22, 2010
    Released on J-STAGE: July 16, 2010
    JOURNAL FREE ACCESS
    The Photopolymer Science and Technology Award No. 101100, the Outstanding Achievement Award 2010, was presented to Koji Nozaki (Fujitsu Laboratories) for his outstanding achievements in photopolymer science and technology, "Development of Adamantane Polymers for 193nm Resists".
    Download PDF (219K)
  • Hiroshi Hiroshima, Hidemasa Atobe
    2010 Volume 23 Issue 1 Pages 5-6
    Published: June 22, 2010
    Released on J-STAGE: July 16, 2010
    JOURNAL FREE ACCESS
    The Photopolymer Science and Technology Award No.102100, the Best Paper Award 2010, was presented to Hiroshi Hiroshima (National Institute of Advanced Industrial Science and Technology (AIST), JST-CREST) and Hidemasa Atobe (Tokyo University of Science, AIST, JST-CREST) for their outstanding contribution published in the Journal of Photopolymer Science and Technology, 22, (2009) 155-160, entitled "Evaluation of Viscosity Characteristics of UV Nanoimprint Resin in a Thin Film".
    Download PDF (148K)
  • Tatsuyuki Nakatani, Yuki Nitta, Keishi Okamoto, Akira Mochizuki
    2010 Volume 23 Issue 1 Pages 7-9
    Published: June 22, 2010
    Released on J-STAGE: July 16, 2010
    JOURNAL FREE ACCESS
    The Photopolymer Science and Technology Award No. 102200, the Best Paper Award 2010, was presented to Tatsuyuki Nakatania, Yuki Nittaa, Keishi Okamotoa and Akira Mochizukib (aToyo Advanced Technologies Co. Ltd., bTokai University) for their outstanding contribution published in Journal of Photopolymer Science and Technology, 22(4), 455-460 (2009), entitled "Surface Engineering of DLC Thin Films with Controlled Zeta Potential Using Plasma Processing and Evaluation of Cytocompatibility".
    Download PDF (280K)
  • Daniel P. Sanders, Joy Cheng, Charles T. Rettner, William D. Hinsberg, ...
    2010 Volume 23 Issue 1 Pages 11-18
    Published: June 22, 2010
    Released on J-STAGE: July 16, 2010
    JOURNAL FREE ACCESS
    Directed self-assembly (DSA), which combines self-assembled polymers with lithographically defined substrates, has been considered as a potential candidate to extend optical lithography. In order to assess the capabilities and limitations of DSA as a viable patterning technology for the fabrication of semiconductor devices, we seek to integrate DSA with state-of-the-art optical lithography in a straightforward and process-friendly manner. In this paper, we discuss several integration strategies which allow 193 nm immersion lithography to produce suitable topographical and chemical guiding patterns for DSA. The ability to use optical lithography and commercially available patterning materials to fabricate effective guiding patterns will enable DSA to be applied to 300 mm wafers with state-of-the-art fab tooling and will open the door to meaningful wafer-scale characterization of DSA performance.
    Download PDF (1983K)
  • Hiroshima Hiroshi, Hidemasa Atobe
    2010 Volume 23 Issue 1 Pages 19-24
    Published: June 22, 2010
    Released on J-STAGE: July 16, 2010
    JOURNAL FREE ACCESS
    Despite of the importance for understanding or predicting resin filling in UV nanoimprint, viscosities of UV curable resins used in UV nanoimprint were not well known and considered as same as those of UV curable resins in the bulk state. In UV nanoimprint, UV curable resin is commonly spin-coated on a wafer and a thin UV curable resin film is created. The ratio of surface to volume of a spin-coated film is extremely large in comparison to that in the bulk status. Therefore, it is possible that the viscosity of the spin-coated UV curable resin is changed by evaporation of some volatile components, for example. We constructed viscosity measurement apparatus for a thin liquid film, simply based on laminar shear of fluid between two plates. By using the viscosity measurement apparatus, it was found that the viscosity of UV curable resin supplied on a wafer by a droplet or by spin-coating with thickness larger than 2.3 μm showed almost the same viscosity as that in the bulk state, however, when a thin film was created by spin-coating with a thickness smaller than that, the viscosity clearly increased. It was also found that viscosity of spin-coated UV curable resin increases with time or by heating and becomes 6 times of that in the bulk state for PAK-01. We succeeded in viscosity measurement of PAK-01 with a thickness of 0.58 μm prepared from diluted PAK-01 (PAK-01-1000) and found that the UV curable resin was exactly Newtonian fluid in these thickness ranges.
    Download PDF (1927K)
  • Yoshihiko Hirai
    2010 Volume 23 Issue 1 Pages 25-32
    Published: June 22, 2010
    Released on J-STAGE: July 16, 2010
    JOURNAL FREE ACCESS
    Process simulation systems for UV nanoimprint lithography (NIL) has been developed to investigate process science and resist profiles. The system consist of 4 modules, which simulate fluid dynamics in resist filling process into pattern, optical intensity distribution in UV exposure process, mechanical properties in UV curing, and resist profiles by UV shrinkage. Each module is independent but share physical parameters and resist profiles. Simulations on bubble trapping in resist filling process and resist curing in UV exposure process are demonstrated.
    Download PDF (1315K)
  • Qing Wang, Hiroshi Hiroshima, Hidemasa Atobe
    2010 Volume 23 Issue 1 Pages 33-38
    Published: June 22, 2010
    Released on J-STAGE: July 16, 2010
    JOURNAL FREE ACCESS
    Knowledge of shrinkage is important for fabricating a successful replication with high resolution and optimizing the conditions of a UV nanoimprint process. A dynamic system to evaluate the UV shrinkage characteristics of photo-curable resin is proposed to study the shrinkage of the UV photo-curable resin with UV exposure time. This system provides a way to evaluate not only the saturation resin shrinkage induced by UV exposure, but also in-situ dynamic shrinkage with a time resolution of 100us. It is found that the shrinkage-induced displacement of thin film resin by UV exposure has a linear relation with the thickness of the thin film resin. The shrinkage of PAK-01 resin at 250mJ/cm2 is approximately 8.4% by fitting the displacement data using a linear model. The dynamic shrinkage analysis reveals that the stronger UV intensity gives a lower conversion rate at the same exposure dose.
    Download PDF (632K)
  • Yuji Kang, Makoto Okada, Yuichi Haruyama, Kazuhiro Kanda, Shinji Matsu ...
    2010 Volume 23 Issue 1 Pages 39-43
    Published: June 22, 2010
    Released on J-STAGE: July 16, 2010
    JOURNAL FREE ACCESS
    The spin-coated ITO film can be delineated by room-temperature nanoimprint lithography (RT-NIL), but the patterns disappeared after 200 ?C annealing process. To overcome the above problem, we examined 254 nm UV irradiation effect onto a spin-coated ITO film. However, this result suggests that UV irradiated pattern shrink in size. In this paper, we confirmed UV irradiation effect on pattern shrinkage of sol-gel ITO replicated by RT-NIL.
    Download PDF (283K)
  • Hiroshima Hiroshi, Hidemasa Atobe, Qing Wang
    2010 Volume 23 Issue 1 Pages 45-50
    Published: June 22, 2010
    Released on J-STAGE: July 16, 2010
    JOURNAL FREE ACCESS
    It is known that UV curable resin used in UV nanoimprint shows viscosity change when thin UV-curable resin films are created by spin-coating. Through the study of UV nanoimprint using pentafluoropropane (PFP), which is a promising method enabling high-throughput and reliable UV nanoimprint, it was found that PFP may reduce the viscosity of UV curable resin PAK-01. In this paper, the effect of PFP on the viscosity of spin-coated PAK-01 is carefully studied with our unique viscosity measurement apparatus using an unpatterned mold under the same conditions as in UV nanoimprint where a patterned mold is contacted with spin-coated UV curable resin on a wafer. The effect of viscosity reduction occurs by PFP exposure even for 1s and the effect is not dependent on PFP exposure time. On the other hand, the effect caused by PFP exposure is lost by air exposure for less than 1 s. Namely, the gas, which exists at the moment when a UV curable resin film is making contact with a mold, impacts the viscosity of the UV curable resin regardless of the gas exposure history. We also preliminarily investigated a patterned mold which can trap a larger amount of gas and found that a patterned mold gives the lower viscosity than unpatterned one.
    Download PDF (2159K)
  • Ryosuke Suzuki, Nobuji Sakai, Atsushi Sekiguchi, Yoko Matsumoto, Risa ...
    2010 Volume 23 Issue 1 Pages 51-54
    Published: June 22, 2010
    Released on J-STAGE: July 16, 2010
    JOURNAL FREE ACCESS
    Mechanical characteristics of UV curable resist for UV nanoimprint lithography are investigated using UV rheology meter. Modulations of visco-elatic properties and thickness shrinkages in typical resists are evaluated in variation of exposure UV intensity. The mechanical modulation speed of the resist depends on the UV intensity, which affects to throughput of UV nanoimprint lithography process. To handle the characteristics universally, effective conversion time is newly introduced, which fairly expresses the resist modifications.
    Download PDF (287K)
  • Y. Nakai, S. Omoto, M. Okada, K. Kanda, Y. Haruyama, S. Matsui
    2010 Volume 23 Issue 1 Pages 55-58
    Published: June 22, 2010
    Released on J-STAGE: July 16, 2010
    JOURNAL FREE ACCESS
    Download PDF (384K)
  • Sousuke Korenaga, Kei Kobayashi, Akihiro Kohno, Shoichi Kubo, Masaru N ...
    2010 Volume 23 Issue 1 Pages 59-64
    Published: June 22, 2010
    Released on J-STAGE: July 16, 2010
    JOURNAL FREE ACCESS
    Surface modification of a fused silica substrate was carried out by chemical vapor surface modification (CVSM) with (pentafluorophenyl)triethoxylsilane (F5Ph). The adsorption behavior of F5Ph was studied by high-sensitive UV-visible spectroscopy, contact angle measurement, and atomic force microscopy. The F5Ph adsorption on a silica substrate reached to the saturation by CVSM at 150 °C for 2 h. As a result, the adsorbed monolayer without aggregates was formed. The antisticking property of the F5Ph-adsorbed silica substrate to UV-curable resin causing radical photopolymerization was investigated. After curing and detaching a droplet of the resin, some organic components derived from the resin remained. The amount of remaining resin components on the F5Ph-adsorbed silica substrate was almost the same as that measured on silica substrates modified with (3,3,3-tri- fluoropropyl)trimethoxysilane (FAS3) and Optool-DSX forming antisticking layers. These results indicate that the F5Ph adsorbed monolayer is available as an antisticking layer in UV nanoimprint lithography. It was clear that high-sensitive UV-visible spectroscopy was a powerful tool to quantify adsorbed molecules on a silica mold surface and detect the adhesion of resin components after detachment.
    Download PDF (560K)
  • Makoto Okada, Masatoshi Maeda, Satoshi Shimatani, Shoji Otaka, Shinji ...
    2010 Volume 23 Issue 1 Pages 65-68
    Published: June 22, 2010
    Released on J-STAGE: July 16, 2010
    JOURNAL FREE ACCESS
    Download PDF (340K)
  • Tomohiro Takahashi, Yuya Takasawa, Tomoko Gowa, Satoshi Okubo, Takashi ...
    2010 Volume 23 Issue 1 Pages 69-74
    Published: June 22, 2010
    Released on J-STAGE: July 16, 2010
    JOURNAL FREE ACCESS
    Nano-/ micro-scale structures of crosslinked polytetrafluoroethylene (RX-PTFE) have been directly fabricated by focused ion beam (FIB). In this study, the nano-/ micro-fabricated RX-PTFE were attempted to be applied for the polymeric molds of nanoimprint lithography (NIL). The ability of the RX-PTFE mold for electron beam NIL (EB-NIL) was evaluated by the imprinted patterns, and compared with ultraviolet NIL (UV-NIL) method. The RX-PTFE molds and the imprinted structures obtained by UV- / EB-NIL were observed by a field emission scanning electron microscope (FE-SEM) and a scanning electron microscope (SEM). The height of imprinted structures was 860 nm, and the thinnest line width achieved in the experiments showed 180 nm with EB-NIL process. The estimated aspect ratio was 4.8.
    Download PDF (734K)
  • Makoto Okada, Takashi Kishiro, Kaori Yanagihara, Masashi Ataka, Norimi ...
    2010 Volume 23 Issue 1 Pages 75-78
    Published: June 22, 2010
    Released on J-STAGE: July 16, 2010
    JOURNAL FREE ACCESS
    Download PDF (594K)
  • Tomoki Nishino, Junji Sakamoto, Hiroaki Kawata, Katsumi Mizutani, Yosh ...
    2010 Volume 23 Issue 1 Pages 79-82
    Published: June 22, 2010
    Released on J-STAGE: July 16, 2010
    JOURNAL FREE ACCESS
    abrication of novel high aspect ratio pillars like Gecko's foot-hairs has been demonstrated by UV nanoimprint lithography using PDMS Template. Si master mold having scalloped structures is prepared by deep Si etching process and the pattern is transferred to PMDS template. The pattern is again transferred to UV resist by UV nanoimprint using replicated PDMS template. Using PDMS template, high aspect ratio pillars with side wall scallops were successfully replicated.
    Download PDF (687K)
  • Shoichi Kubo, Tomoyuki Ohtake, Eui-Chul Kang, Masaru Nakagawa
    2010 Volume 23 Issue 1 Pages 83-86
    Published: June 22, 2010
    Released on J-STAGE: July 16, 2010
    JOURNAL FREE ACCESS
    Reactive-monolayer-assisted thermal nanoimprint lithography was applied for patterning thin films of chromium (Cr) and copper (Cu). The metal surfaces with the oxide layer were modified with a benzophenone-containing trimethoxysilane derivative to induce a surface graft reaction of the benzophenone moiety with poly(styrene) (PS) used for a resist polymer. A thin PS film was successfully prepared as a resist layer for wet etching on the modified metal surfaces by spin-coating, followed by exposure to ultraviolet light and annealing without dewetting the resist layer. The thin PS film could be patterned by thermal nanoimprinting involving a removal of the residual layer by exposure to UV/ozone. The patterned PS film worked as a resist mask for acidic aqueous wet etchants for Cr and Cu. We demonstrated that thin patterned films of Cr and Cu on micrometer scales could be fabricated by simple wet etching.
    Download PDF (387K)
  • Tomoki Nishino, Noriyoshi Fujii, Hiroto Miyake, Takao Yukawa, Junji Sa ...
    2010 Volume 23 Issue 1 Pages 87-90
    Published: June 22, 2010
    Released on J-STAGE: July 16, 2010
    JOURNAL FREE ACCESS
    Metal liftoff process has been demonstrated using solvent soluble UV cuable resin by UV nanoimprint lithography. Fine pattern is fabricated by UV nanoimprint using NIAC702 resist (Daisel Chemical), which is solved into Cyclohexanone. After removing residual layer by plasma etching, Cr is deposited by electron beam spattering and the resist is dissolved by Cyclohexanone. Using solvent soluble UV curable resin, Cr pattern is successfully transferred on the substrate by liftoff process.
    Download PDF (675K)
  • Arisa Yamada, Shigeki Hattori, Satoshi Saito, Koji Asakawa, Ikuo Yoned ...
    2010 Volume 23 Issue 1 Pages 91-95
    Published: June 22, 2010
    Released on J-STAGE: July 16, 2010
    JOURNAL FREE ACCESS
    We developed a new chemically amplified molecular resist that showed high resolution by introducing an efficient acid-leaving group to 1,3,5-Tris(p-(p- hydroxyphenyl) phenyl) benzene (THTPPB). The lithographic properties such as sensitivity, developing rate, and adhesion are considered to be controlled using a suitable acid-leaving group. A molecular resist of THTPPB to which is attached with an alicyclic acid-leaving group, hyperlactyl group (HPVE) showed a high resolution for electron beam (EB) lithography and good etch resistance. Half-pitch (hp) 36 nm L&S (1:1) positive pattern was fabricated using 100 keV EB with a new molecular resist based on HPVETPPB.
    Download PDF (1662K)
  • S. Omoto, M. Okada, Y. Kang, K. Kanda, Y. Haruyama, S. Tono, S. Matsui
    2010 Volume 23 Issue 1 Pages 97-100
    Published: June 22, 2010
    Released on J-STAGE: July 16, 2010
    JOURNAL FREE ACCESS
    Download PDF (347K)
  • A. Ibrahim, C. Ley, O. I. Tarzi, J. P. Fouassier, X. Allonas
    2010 Volume 23 Issue 1 Pages 101-108
    Published: June 22, 2010
    Released on J-STAGE: July 16, 2010
    JOURNAL FREE ACCESS
    This paper discusses the photochemistry of three-component photoinitiating systems (3K-PIS) for free radical photopolymerization and the efforts made during the last decades to propose efficient systems applied to the laser imaging area. A special focus is devoted to a new 3K-PIS working in the green region. It is based on a pyrromethene dye which is reduced or oxidized by a coinitiator. A third redox component is used that leads to the recovery of the initial dye and the formation of additional initiating species, preventing a fast photobleaching of the dye. The beneficial effect on the photopolymerization rates and the final monomer conversion is clearly noticed. Laser flash photolysis was used to understand the reaction mechanisms, and detailed photopolymerization kinetics allow the study of polymeric network formation.
    Download PDF (2069K)
  • Satoru Yamada, Yasutomo Goto
    2010 Volume 23 Issue 1 Pages 109-114
    Published: June 22, 2010
    Released on J-STAGE: July 16, 2010
    JOURNAL FREE ACCESS
    Free-radical photopolymerization of Cyclic Allylic Sulfide monomer (CAS monomer) was investigated. Polymerization reaction was monitored by NMR and Photo-DSC in the presence or in the absence of oxygen. The reaction of CAS monomer was much less affected by oxygen than that of usual methacrylate monomer. Chain propagation spices of the CAS monomer react with oxygen to produce peroxy radical which terminates polymerization reaction. Then the peroxy radical was lead to two kinds of radical spices which originate from SH and CH2 group at α position of the sulfur atom in the terminated ring-opening CAS polymer. The reduction of oxygen inhibition occurs by a series of chain transfer and oxygen scavenging reaction
    Download PDF (729K)
  • Kimihiro Matsukawa, Takeshi Fukuda, Seiji Watase, Hideki Goda
    2010 Volume 23 Issue 1 Pages 115-119
    Published: June 22, 2010
    Released on J-STAGE: July 16, 2010
    JOURNAL FREE ACCESS
    Thiol-ene reaction with thiol-containing polysilsesquioxanes and multifunctional allyl compounds produced new type of organic-inorganic hybrid materials. Thiol-containing polysilsesquioxanes were prepared from the hydrolysis and condensation of mercaptopropyltrimethoxysilane. When triallylisocianate (TAIC) as multifunctional allyl compounds was reacted with the silsesquioxanes under UV irradiation, these obtained hybrid materials had some specific properties such as high transparency, high refractive index, and thick film formation, in addition to low shrinkage after photo-curing. The light resistance was superior to the photo-cured multi-acrylates, because there was no coloration due to the decomposition of photoinitiators. And also, these hybrid materials had high heat resistance compared to non-hybrid thiol-ene cured materials.
    Download PDF (1010K)
  • Hironori Matsushima, Junghwan Shin, Justin W. Chan, Masamitsu Shirai, ...
    2010 Volume 23 Issue 1 Pages 121-124
    Published: June 22, 2010
    Released on J-STAGE: July 16, 2010
    JOURNAL FREE ACCESS
    Download PDF (635K)
  • Daisuke Matsukawa, Haruyuki Okamura, Masamitsu Shirai
    2010 Volume 23 Issue 1 Pages 125-128
    Published: June 22, 2010
    Released on J-STAGE: July 16, 2010
    JOURNAL FREE ACCESS
    Download PDF (327K)
  • C. Croutxè-Barghon, C. Belon, A. Chemtob
    2010 Volume 23 Issue 1 Pages 129-134
    Published: June 22, 2010
    Released on J-STAGE: July 16, 2010
    JOURNAL FREE ACCESS
    Various methodologies combining sol-gel chemistry and photopolymerization were implemented to yield novel organic-inorganic nanocomposite photomaterials through photoacids generation. The first part of this paper focuses on cationic systems based on bifunctional organic-inorganic precursor. The photoacid-catalyzed cationic poly- merization and sol-gel polycondensation of an epoxytrialkoxysilane precursor enabled the simultaneous generation of the organic and inorganic phases. With a similar strategy, the combination of both radical and cationic photoinitiators is highlighted to achieve an efficient polymerization of a methacrylate silane derivative. Finally, the last part is devoted to the sol-gel photopolymerization of 3 different organo-bridged trialkoxysilane precursors [(R'O)3Si-R-Si(OR')3].
    Download PDF (878K)
  • Koji Arimitsu, Ryosuke Endo
    2010 Volume 23 Issue 1 Pages 135-136
    Published: June 22, 2010
    Released on J-STAGE: July 16, 2010
    JOURNAL FREE ACCESS
    Download PDF (210K)
  • Kieko Harada, Masahiro Nakada, Kiyomi Matsuda, Shigeru Takahara, Katsu ...
    2010 Volume 23 Issue 1 Pages 137-140
    Published: June 22, 2010
    Released on J-STAGE: July 16, 2010
    JOURNAL FREE ACCESS
    Electro-conductivity of a thick Diphenylamine-4-diazonium sulfate/formaldehyde condensate/polyvinyl alcohol (DSR/PVA) resist layers increased by the incorporation of multi-walled carbon nanotube (CNT) and fulleren C60 (weight ratio of CNT or C60 to DSR = 1.00 : 1 ∼ 3.00:1). Conductivity of DSR/PVA film with CNT was one order larger than that with C60. The larger conductivity depends on the charge leakage through the network or thread-bundle structure of multi-walled CNT. Conductivity of shorter CNT (3∼20 nm) was larger than that with long CNT (40∼70 nm). The σvalues for the thin films ( 6μm) were ca. two times larger than those for the thick films ( 12 μm). Photo decomposition of DSR/PVA resist with CNT was accelerated reductively by the electrons evolved from CNT, absorbing light energy. Electron rich characteristics of CNT may play an important role in the enhancement of electric conductivity and photo decomposition rate.
    Download PDF (448K)
  • Toshiyuki Oyama, Yuji Shimizu, Akio Takahashi
    2010 Volume 23 Issue 1 Pages 141-144
    Published: June 22, 2010
    Released on J-STAGE: July 16, 2010
    JOURNAL FREE ACCESS
    Download PDF (642K)
feedback
Top