Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
Volume 24, Issue 5
Displaying 1-25 of 25 articles from this issue
  • Murirathna Padmanaban, Takanori Kudo, Srinivasan Chakrapani, Alberto D ...
    2011 Volume 24 Issue 5 Pages 479-486
    Published: June 21, 2011
    Released on J-STAGE: August 26, 2011
    JOURNAL FREE ACCESS
    Photosensitive Developable Bottom Anti-Reflective Coatings (DBARC) in the simplest form can be considered as a dyed photoresist. They need to function as a BARC material to address the issues due to the reflectivity from substrates and also be completely soluble in the developer after exposure, bake and develop steps of the photoresist. Hence, DBARCs possess the characteristics of both BARCs and photoresist materials. It is well known and very well documented that photoacid generators (PAGs) and quenchers play key roles in the chemically amplified resist formulations. Quantum efficiency, acid strength and diffusion length of the PAGs influence the resist sensitivity, resolution, exposure latitude, depth-of-focus, I-D bias, line-width roughness (LWR), line-edge roughness (LER), shelf-life, delay time and environmental stability of the photoresist. Similar to PAGs, quenchers also have direct impact on the resist performance mentioned above and they work in tandem. In photosensitive DBARCs as well PAGs and quenchers play crucial roles. In addition, the critical concentration of photogenerated acid needs to be maintained in the exposed areas of DBARC and photoresist for optimal performance. Since the photoresist and the DBARC polymers could have different cleave reaction activation energies, selection of PAGs and quenchers which does not easily intermix with photoresist is important to maintain the resist performance. Conversely, acid diffused or intermixed from the photoresist can also impact the DBARC performance. In this paper, effects of PAG and quencher type and amount, resist bakes and DBARC bakes on the photosensitive DBARC profiles are presented.
    Download PDF (528K)
  • Byungki Jung, Manish Chandhok, Todd R. Younkin, Christopher K. Ober, M ...
    2011 Volume 24 Issue 5 Pages 487-490
    Published: June 21, 2011
    Released on J-STAGE: August 26, 2011
    JOURNAL FREE ACCESS
    Chemically amplified photoresists require a post exposure bake (PEB) to catalytically deprotect the polymer backbone. However, excessive diffusion of the photogenerated acid during PEB results in resolution loss and line edge roughness. As both deprotection and diffusion are thermally activated processes, understanding their reaction kinetics as a function of temperature and time is potentially key to optimizing these two competing processes. In this work, we characterize the resist deprotection kinetics under laser PEB (lPEB), utilizing continuous wave CO2 laser thermal processing to shift the duration into sub-millisecond time frames at temperatures up to 500°C. At these temperatures and times, the extent of deprotection under l-PEB is comparable to that observed under conventional hot plate PEB conditions in the second time frames. Results show that, under isothermal conditions, deprotection has a power law dependence as a function of PEB duration, exhibiting secondorder or higher order kinetics under second time frames but a first-order kinetics under lPEB in sub-millisecond times.
    Download PDF (315K)
  • Hidetami Yaegashi, Kenichi Oyama, Kazuo Yabe, Shoichi Yamauchi, Arisa ...
    2011 Volume 24 Issue 5 Pages 491-495
    Published: June 21, 2011
    Released on J-STAGE: August 26, 2011
    JOURNAL FREE ACCESS
    Lithographic scaling has been driven by improvements in wavelength and numerical aperture historically. In the semiconductor industry, the H2O base 192 immersion technique has still been main exposure tool combined with various low-k1 techniques, such like off-axis illumination, phase-shift mask and so on. The focus is now on double patterning techniques (DPT) as a means to circumvent the limitations of Rayleigh′s definition. Actually, self-aligned spacer double patterning (SADP) has already been employed in high volume manufacturing of NAND flash memory devices. This paper introduces demonstration results focused on the extendibility of double patterning techniques for downward scaling and various device layouts utilizing SADP (Self-aligned Double patterning) mainly.
    Download PDF (1046K)
  • Changil Oh, Junggun Hao, Jaeheon Kim, Junghung Lee, Cheolkyu Bok, Dong ...
    2011 Volume 24 Issue 5 Pages 497-501
    Published: June 21, 2011
    Released on J-STAGE: August 26, 2011
    JOURNAL FREE ACCESS
    Contact hole patterning for half pitch 51nm can be achieved by single exposure for mass production and for half pitch 39nm can be achieved by Litho-Etch-Litho-Etch (LELE). Currently for half pitch 32nm there is no promising solution so far, but ouble patterning and extreme ultraviolet lithography (EUV) are still competing each other for this target. In the current situation of contact hole patterning, single exposure negative tone development (NTD) shows excellent contact hole performance on fidelity, process window and CD uniformity compared to positive tone development (PTD) and finally enables to define half pitch below 50nm. In this paper, we describe necessity for circle patterning on 3-D flash, optimization of exposure condition, upgrade status of resist and device speed of 3-D flash on negative tone development (NTD) process.
    Download PDF (614K)
  • Guanyang Lin, M. Dalil Rahman, Joon Yeon Caho, Jianhui Sahn, Yi Yi, Do ...
    2011 Volume 24 Issue 5 Pages 503-510
    Published: June 21, 2011
    Released on J-STAGE: August 26, 2011
    JOURNAL FREE ACCESS
    Silicon and carbon rich hard masks such as SiON and amorphous carbon (ACL) are widely used for high resolution pattern generation in integrated circuit (IC) chip fabrications. Those hard masks are conventionally prepared through chemical vapor deposition (CVD) processes. CVD processes require extra capital investments and have low manufacturing throughput. Spin-on hard masks are prepared using coatable organic polymer-based formulations on regular wafer processing tracks. The process is less complex and has lower manufacturing cost. There are many challenges facing the development of formulations for spin-on carbon (SOC) hard masks for advanced IC applications. In this publication, chemical and physical properties and the lithographic performances of several SOC formulations will be reported.
    Download PDF (347K)
  • A. Watanabe, E. Ohta, A. Shimofuku
    2011 Volume 24 Issue 5 Pages 511-512
    Published: June 21, 2011
    Released on J-STAGE: August 26, 2011
    JOURNAL FREE ACCESS
    Download PDF (287K)
  • Hidehiro Tsubokura, Akihiro Oshima, Tomoko Gowa Oyama, Hiroki Yamamoto ...
    2011 Volume 24 Issue 5 Pages 513-516
    Published: June 21, 2011
    Released on J-STAGE: August 26, 2011
    JOURNAL FREE ACCESS
    Functionalized poly(tetrafluoroethylene-co-hexafluoropropylene (FEP) ) was fabricated by electron beam (EB) induced grafting technique and chemical sulfonation treatment. The functionalized FEP (s-FEP) films were immersed in the silver nitrate (AgNO3) solution under ambient condition, to exchange on ionic sites from proton ion-form to Ag+ ion-form, and then the Ag-formed s-FEP polymers were obtained. Ag-formed s-FEP was irradiated with 30 keV Ga+ focused ion beam (FIB) and 6 MeV/u dispersed Ne10+>/sup> ion beam (DIB). The irradiated areas were evaluated by a scanning electron microscopy (SEM) with an energy dispersive X-ray spectroscopy (EDX). In both cases of FIB and DIB irradiations, the nano-scale particles were appeared on the surface of irradiated areas. From the results of EDX analysis for the particles, the peaks which were assigned to silver atoms were clearly detected, and peak intensities were higher than un-irradiated one. It is suggested that the AgM+ ions would be reduced by ion beam irradiation and appeared as silver nano-scale particles with pure silver metal and its oxidative chemical compounds.
    Download PDF (470K)
  • Rahmi, Hideyuki Itagaki
    2011 Volume 24 Issue 5 Pages 517-521
    Published: June 21, 2011
    Released on J-STAGE: August 26, 2011
    JOURNAL FREE ACCESS
    2,5-Dihydroxybenzoic acid (DHBA) was found to show novel emission behavior depending on polarity of solvents. Fluorescence of DHBA has peaks at longer wavelengths as for a phenyl derivative and consists of three different components. They are zwitterion-type component produced by phototautomerism and anionic component in addition to usual neutral component. Finally, DHBA turned out to have some good advantages for the use as a fluorescent probe molecule. Thus, DHBA was applied to hydrogels of agarose and was ascertained to work well as a probe reflecting the microenvironment such as polarity and hydrophobicity. The gelation of agarose in water induced the remarkable change of DHBA fluorescence.
    Download PDF (345K)
  • Toshiyuki Oyama, Shinji Senoo, Masao Tomoi, Akio Takahashi
    2011 Volume 24 Issue 5 Pages 523-526
    Published: June 21, 2011
    Released on J-STAGE: August 26, 2011
    JOURNAL FREE ACCESS
    Download PDF (544K)
  • Hideyuki Nakano, Masashi Yoshitake
    2011 Volume 24 Issue 5 Pages 527-529
    Published: June 21, 2011
    Released on J-STAGE: August 26, 2011
    JOURNAL FREE ACCESS
    Download PDF (283K)
  • X. Allonas, A. Ibrahim, C. Ley, H. Saimi, J. Bugnet, K. Kawamura
    2011 Volume 24 Issue 5 Pages 531-534
    Published: June 21, 2011
    Released on J-STAGE: August 26, 2011
    JOURNAL FREE ACCESS
    In this paper, visible light photoinitiating systems are developed for Free Radical Photopolymerization FRP. The use of borate salts as coinitiators was found to yield high rate of polymerization as well as high final conversion when combined with a dye abosrbing in the UV-visible range. The mechanism of reaction was investigated by laser flash photolysis. The mechanism is discussed in terms of photoinduced electron transfer and fast dissociation of borate radical.
    Download PDF (278K)
  • Takashi Karatsu, Yasuaki Yoshimura, Shingo Miura, Shiki Yagai, Akihide ...
    2011 Volume 24 Issue 5 Pages 535-547
    Published: June 21, 2011
    Released on J-STAGE: August 26, 2011
    JOURNAL FREE ACCESS
    Three series of cyclohexyl methacrylate based copolymers having photo-reactive side chains (C=C double bond) were synthesized and examined their performances as photopolymer in the presence of suitable oxime ester or triazine type radical photo-initiators for 365 nm light. As a result, those polymers gave negative patterns with micrometer resolution. Correlation between photochemical reactivity and chain length of the side chain/density of reactive side chain in the cyclohexyl methacrylate main chain was observed. Sterically stacked nonpolar cyclohexyl groups may push out the polar reactive side chain, and length and density of the side chain controls cross linking between two reactive sites in the single main chain or two different main chains. The image on a printing plate has enough strength for practical times of run off the print. These polymers were white or transparent powder having enough stability to preserve. Over all, three copolymers have promising features as photopolymer for printing.
    Download PDF (744K)
  • Won-Bum Jang, Young-Eun Kwon, Ji-Won Park, Hyun-Joong Kim
    2011 Volume 24 Issue 5 Pages 549-552
    Published: June 21, 2011
    Released on J-STAGE: August 26, 2011
    JOURNAL FREE ACCESS
    Download PDF (298K)
  • Ji-won Park, Sueng=woo Lee, Young-Min Yoo, Hyun-Woo Chung, Won-Bum Jan ...
    2011 Volume 24 Issue 5 Pages 553-560
    Published: June 21, 2011
    Released on J-STAGE: August 26, 2011
    JOURNAL FREE ACCESS
    In general, pressure-sensitive-adhesive (PSA) is distinct from commonly used an adhesive material. There are many useful benefits, PSA are applied in many fields. A using of PSA are expanding on electrical and electronic product, especially PSA are used as a very important material in the field of touch screen panel (TSP). PSA is posted a indium tin oxide (ITO) films that patterned ITO layer. To preserve the layer, the existing PSA which contains acid are replaced by acid-free PSA. In order to synthesize acid free PSA, acrylic acid (AA) is replaced by the 2-ethylhexyl acrylate (2-HEA), glycidyl methacrylate (GMA) and isobonyl acrylate(IBA). To improve cohesion of acid-free PSA, two different methods, - self-crosslinking system and IPNs-system-, are introduced. Self-crosslinking system is hard method compared to the IPNs-system, but there is no residue and the system showed excellent properties.
    Download PDF (705K)
  • Haruyuki Okamura, Masamitsu Shirai
    2011 Volume 24 Issue 5 Pages 561-564
    Published: June 21, 2011
    Released on J-STAGE: August 26, 2011
    JOURNAL FREE ACCESS
    Download PDF (262K)
  • Koei Suzuki, Keiichjiro Yutani, Makito Nakashima, Atsushi Onodera, Sat ...
    2011 Volume 24 Issue 5 Pages 565-570
    Published: June 21, 2011
    Released on J-STAGE: August 26, 2011
    JOURNAL FREE ACCESS
    The surface energy controlled ink-jet printing with ultraviolet (UV) irradiation on a novel polyimide film was developed for high-resolution electrode patterning. Minimum linewidth of electrode was 15 μm and minimum space between two electrodes was 2 μm respectively. 160 ppi and 200 ppi all-printed organic thin film transistor (OTFT) backplanes were fabricated by the surface energy controlled ink-jet printing for electrodes, conventional ink-jet printing for organic semiconductor (OSC) and several printing methods on plastic substrate. We have also fabricated a 300 ppi all-printed OTFT array on plastic substrate. Flexible electrophoretic displays (EPDs) driven by 200 ppi all-printed OTFT backplanes were also successfully demonstrated.
    Download PDF (555K)
  • Kohki Mukai, Rikiya Munekata, Stoshi Sakamoto, Hiroaki Seki
    2011 Volume 24 Issue 5 Pages 571-575
    Published: June 21, 2011
    Released on J-STAGE: August 26, 2011
    JOURNAL FREE ACCESS
    As a new implementation method for three-dimensional multi-layering integration, we propose the use of micro fastener, which is produced by the stereolithography using photocurable resin, and can realize precise positioning and conductive connection after plating. The connection does not require strong pressurization and high temperature, that is suitable to low cost production. Because mounting and dismounting is possible, the device is also suitable to small-quantities custom-made production. It also allows easy upgrading. We produced micro fastener experimentally, and demonstrated high conductivity and high strength of the device connection.
    Download PDF (491K)
  • Hiroshi Yoshida, Yasuhiko Tada, Yoshihito Ishida, Teruaki Hayakawa, Mi ...
    2011 Volume 24 Issue 5 Pages 577-580
    Published: June 21, 2011
    Released on J-STAGE: August 26, 2011
    JOURNAL FREE ACCESS
    Download PDF (1075K)
  • Shigeki Hattori, Koji Asakawa, Satoshi Mikoshiba, Hiroko Nakamura, Ats ...
    2011 Volume 24 Issue 5 Pages 581-585
    Published: June 21, 2011
    Released on J-STAGE: August 26, 2011
    JOURNAL FREE ACCESS
    The microdomain structure of polystyrene-polymethylmethacrylate block copolymer (PS-b-PMMA) can be directed by a photochemically attached polymer surface layer. The photochemical attachment of the neutralization polymer on the silicon surface is a convenient and effective technique for interfacial energy control to provide the directed self-assembly of block copolymer. The perpendicular microdomain structure of PS-b-PMMA was formed on the polymer surface layer and the directed microdomain orientation of PS-b-PMMA was obtained on the polymer surface pattern.
    Download PDF (578K)
  • Akira Kawai
    2011 Volume 24 Issue 5 Pages 587-593
    Published: June 21, 2011
    Released on J-STAGE: August 26, 2011
    JOURNAL FREE ACCESS
    A polymer material indicates higher durability and resistance properties against to reactive gases, acid and alkaline solutions. Fluid control MEMS (micro electro mechanical systems) with micro diaphragm pumping system used for reactive gas control is constructed. A resist film made of novolac resin as a diaphragm material is employed. An Au/Si/resist multilayer structure as a diaphragm of 1mm diameter is formed by optical lithography and anisotropic wet etching techniques. A micro channel structure of 50μm width is also fabricated by employing a polymer thick film. The mechanical strength of a diaphragm is tested by applying static load using a probe system. By applying 20V bias between diaphragm and base electrodes, Coulomb attractive force acts to operate the diaphragm motion. As the fluid flow control, a silane-coupling vapor gas of HMDS (hexamethyldisilazane) is employed. A contact angle of water indicates hydrophobic of a glass substrate by HMDS vapor control using the MEMS.
    Download PDF (1152K)
  • Takehiko Wada, Masaki Nishijima, Seiji Sakamoto, Makoto Murakami, Yui ...
    2011 Volume 24 Issue 5 Pages 595-596
    Published: June 21, 2011
    Released on J-STAGE: August 26, 2011
    JOURNAL FREE ACCESS
    Download PDF (250K)
  • Madoka Takai, Takanori Shirai, Kazuhiko Ishihara
    2011 Volume 24 Issue 5 Pages 597-602
    Published: June 21, 2011
    Released on J-STAGE: August 26, 2011
    JOURNAL FREE ACCESS
    An optimal condition to modify poly(dimethylsiloxane) (PDMS) surface for microchannel electrophoresis in a quick and simple manner was investigated. PDMS has the native hydrophobicity property and negative charge on its surface. These disadvantages limit the use of PDMS in electrophoresis application, because they cause bio-fouling and electroosmotic flow (EOF). One of the promising approach is surface modification by biocompatible polymer. 2-Methacryloyloxyethyl phosphorylcholine(MPC), which has suitable property of protein adsorption resistance, was graft polymerized from the PDMS surface using UV irradiation. Optimization of the surface modification was performed by graft polymerizing with various concentration of the monomer concentration and UV-irradiation time. The resulting surfaces were characterized by X-ray photoelectron spectroscopy (XPS), surface zeta potential, and protein adsorption test. The polymerizing condition is believed to intercorrelate with graft density of MPC polymer. The high graft density of MPC polymer on PDMS can suppress both non-specific protein adsorption and EOF.
    Download PDF (660K)
  • Md. Amran Hossain, Yutaka Ikeda, Yukio Nagasaki
    2011 Volume 24 Issue 5 Pages 603-606
    Published: June 21, 2011
    Released on J-STAGE: August 26, 2011
    JOURNAL FREE ACCESS
    Download PDF (506K)
  • Takashi Yamashita, Kota Shinohara, Yosuke Nakatoh, Yusa Muroya, Kunihi ...
    2011 Volume 24 Issue 5 Pages 607-608
    Published: June 21, 2011
    Released on J-STAGE: August 26, 2011
    JOURNAL FREE ACCESS
    Poly(phenylene vinylene) structure is one of the chromophore with large two photon absorption (TPA) cross section, which is expected as the materials for high density optical data storage with the TPA induced reaction. Photo-irradiation to a poly(phenylene vinylene) derivative changes the refractive index of the polymer by the magnitude as high as 0.7. The mechanism involves photo-induced electron transfer from the TPA dye to matrix for the reaction of a distyrylarene derivative. The refractive index of the polymer also can be changed by the electron beam irradiation.
    Download PDF (231K)
  • Takashi Yamashita, Kosuke Tominaga, Toshihiko Kato, Kunihiro Okano
    2011 Volume 24 Issue 5 Pages 609-610
    Published: June 21, 2011
    Released on J-STAGE: August 26, 2011
    JOURNAL FREE ACCESS
    Quantum yield of a photo-reactive materials are defined as the rate of the product formation over the sum of various deactivation process rates, which is usually regarded as identical to the molecule, because the quantum yield of the photoreaction is described according to the photo-physical process. The quantum yield of the photo-reaction in solid state polymer is not only determined by the photo-physical, however, but also by the effect of free volume of polymer matrix. Therefore the quantum yield of photo-reaction of photo-reactive molecule in solid state polymer has inhomogeneous distribution. We have determined the quantum yield distribution of a photo base generator (PBG) to find that the PBG is much reactive in solid state polymer than in solution. The reason is well explained by the dynamic free volume concept.
    Download PDF (216K)
feedback
Top