Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
26 巻, 5 号
選択された号の論文の17件中1~17を表示しています
  • Jihoon Kim, Jingxiu Wan, Shinji Miyazaki, Jian Yin, Yi Cao, Young Jun ...
    2013 年 26 巻 5 号 p. 573-579
    発行日: 2013/06/25
    公開日: 2013/09/07
    ジャーナル フリー
    A new process for directed block co-polymer self-assembly (DSA),AZ(R) SMARTTM, for high resolution line and space patterning was introduced. The SMART process started with photoresist trench patterns generated through common photolithographic processes on top of a thin crosslinked neutral layer. A reactive ion etching (RIE) process removed the neutral layer at bottom of the resist trenches and followed by a resist stripping step which completely removed the resist material and uncovered the neutral surface protected by the resist film during etching step. DSA performances of the resultant SMART chemical pre-patterns without or with extra pinning material brushing step were compared. Results indicated that pinning material enhanced chemical pre-pattern directing power for DSA performance. The chemical pre-pattern without pinning material provided well aligned DSA performance for some specific pre-pattern structure and DSA multiplication factor, but it lacked general performance stability. On the other hand, process with added pinning material was demonstrated with stable performance for variable pre-pattern pitches with different DSA multiplication factors. SMART DSA pattern profile and its pattern etching transfer into hard masks were investigated.
  • Chenyang Jiang, Hiroaki Takehara, Koichiro Uto, Mitsuhiro Ebara, Takao ...
    2013 年 26 巻 5 号 p. 581-585
    発行日: 2013/06/25
    公開日: 2013/09/07
    ジャーナル フリー
    A temporal change in temperature in an actuating shape-memory polymer (SMP) valve has been measured by analyzing the fluorescence spectrum of sulforhodamine B in the aqueous solution. The SMP microvalve used in this study was recently developed by the authors. It is actuated by the shape recovery of poly(ε-caprolactone) (PCL), which is triggered by heat from a microheater. To obtain information about temperature rise during operation, we measured “temperature quenching” of the fluorescence from sulforhodamine B using laser-induced fluorescence (LIF) spectroscopy. The maximum temperature during valve actuation was approximately 60 °C and was slightly higher than the phase transition temperature 52 °C of the PCL material used in this study. The results indicate that the present microvalve is potentially applicable to practical point-of-care testing devices.
  • Eric Hendrickx, Roel Gronheid, Jan Hermans, Gian Lorusso, Philippe Fou ...
    2013 年 26 巻 5 号 p. 587-593
    発行日: 2013/06/25
    公開日: 2013/09/07
    ジャーナル フリー
    The EUV program at imec aims at identifying the critical issues to prepare EUV lithography for insertion into high volume IC production. The program started in 2006 with the 0.25 NA ASML Alpha Demo Tool and has since then evolved around several focus areas. 1) scanner performance, reliability and monitoring, 2) definition and verification of OPC strategies for generic and EUV specific imaging effects 3) reticle defectivity, focusing on multi-layer defects, reticle handling and reticle cleaning, 4) resist screening, focusing on identification of materials that not only simultaneously give optimal performance in terms of resolution, line width roughness and sensitivity, but that also allow adequate transfer of the EUV-fabricated patterns into the underlying layers and 5) implementation of EUV lithography into fabrication of representative device structures. Since 2011 The Alpha Demo Tool has been replaced by the ASML NXE:3100, allowing higher resolution and productivity. In this paper, selected highlights in the latest achievements of the imec EUV program will be discussed.
  • Changil Oh, Jiil Kim, Junggun Heo, Hyungsuk Seo, Kwanggu An, Cheolkyu ...
    2013 年 26 巻 5 号 p. 595-598
    発行日: 2013/06/25
    公開日: 2013/09/07
    ジャーナル フリー
    It is reported that EUV shot noise has become fundamental issues to deteriorate LWR (Line Width Roughness) and CDU (CD Uniformity). But progress of EUV source power is delayed and not sufficient, we need to develop EUV patterning technology to overcome EUV shot noise. Currently there are four technological strategies and we are investigating them. ; These are (1) resist upgrade, (2) additional LWR / CDU mitigation process, (3) EUV NTD (Negative Tone Development) and finally (4) blend DSA (Directed Self Assembly) rectification. Resist upgrade and additional LWR / CDU mitigation process is matured more or less, so we are using some effects from them already. But EUV NTD and blend DSA rectification is new and potential technology which is introduced recently, so we expect these will become break-through technologies against EUV shot noise.
  • Shinji Tarutani, Wataru Nihashi, Shuuji Hirano, Natsumi Yokokawa, Hiro ...
    2013 年 26 巻 5 号 p. 599-604
    発行日: 2013/06/25
    公開日: 2013/09/07
    ジャーナル フリー
    The advantages of NTI process in EUV is demonstrated by optical simulation method for 0.25NA and 0.33NA illumination system with view point of optical aerial image quality and photon density. The extendablity of NTI for higher NA system is considered for further tight pitch and small size contact hole imaging capability. Process and material design strategy to NTI were discussed with consideration on comparison to ArF NTI process and materials, and challenges in EUV materials dedicated to NTI process were discussed as well. A new polymer was well designed for EUV-NTD process, and the resists formulated with the new polymer demonstrated good advantage of resolution and sensitivity in isolated trench imaging, and 24 nm half pitch resolution at dense C/H, with 0.3NA MET tool.
  • James Thackeray, James Cameron, Vipul Jain, Paul LaBeaume, Suzanne Col ...
    2013 年 26 巻 5 号 p. 605-610
    発行日: 2013/06/25
    公開日: 2013/09/07
    ジャーナル フリー
    This paper describes Dow’s efforts toward improved Critical Dimensional Uniformity (CDU) in EUV resists. Many non-material related factors contribute to good CDU, such as aerial image quality. We have focused on fundamental resist properties like intrinsic dissolution contrast and we have found that the photo-decomposable base (PDB) concept can be successfully employed. With the use of a PDB, we can reduce CDU variation at lower exposure energies. For sensitivity, we have focused on more efficient EUV photon capture through increased EUV absorption, as well as more highly efficient PAGs for greater acid generating efficiency. The formulation concepts will be confirmed using Prolith stochastic resist modeling. For the 26nm hp contact holes, we observe excellent overall process window with over 280nm depth of focus for a 10% exposure latitude Process window. The 1σ CDU is 1.1 nm. We also obtain 20nm hp contact resolution in one of our new EUV resists.
  • Jullius Joseph Santillan, Toshiro Itani
    2013 年 26 巻 5 号 p. 611-616
    発行日: 2013/06/25
    公開日: 2013/09/07
    ジャーナル フリー
    The dissolution characteristics of EUV resist patterns as they are formed during the development process were analyzed using the HS-AFM. This in situ dissolution analysis method has been significantly optimized and can now be utilized for the analysis of 32 nm hp L/S pattern developed with a standard concentration (0.26 N) of the tetramethyl ammonium hydroxide developer solution. Further investigations have shown that this method is presently limited to hp L/S patterns around 32 nm hp L/S. This was mainly attributed to the comparatively thick radius of curvature of presently available cantilevers. Furthermore, the results obtained here have shown that resists of good pattern LWR exhibit smooth patterns even during dissolution. On the other hand, resists of comparatively large LWR also show signs of such roughness even during the dissolution process. These results show the possibility of defining the formation mechanism of LWR during the development process.
  • Mark Neisser, Kyoung Yong Cho, Chandra Sarma, Karen Petrillo
    2013 年 26 巻 5 号 p. 617-623
    発行日: 2013/06/25
    公開日: 2013/09/07
    ジャーナル フリー
    High resolution contact holes were printed with different EUV resists on an EUV microstepper exposure tool. Intrinsic critical dimension uniformity (corrected CDU) was separated statistically from other location effects. As expected, there was worse CDU for resists with lower dose to size. Comparison of corrected CDU to calculated shot noise showed a significant correlation. However the CDU of the fastest resists tested showed substantial variation, suggesting that different chemical approaches to making fast resists can give different CDU. Comparison of experimental results and of calculated shot noise to ITRS roadmap requirements for contact hole CDs suggests that some post processing that improves CDU will be needed in the future or that chip designs with tolerance to significant contact hole CDU will be needed.
  • Justin Torok, Ryan Del Re, Henry Herbol, Sanjana Das, Irina Bocharova, ...
    2013 年 26 巻 5 号 p. 625-634
    発行日: 2013/06/25
    公開日: 2013/09/07
    ジャーナル フリー
    Secondary electrons play critical roles in several imaging technologies, including extreme ultraviolet (EUV) lithography. At longer wavelengths of light (e.g. 193 and 248 nm), the photons are directly involved in the photochemistry occurring during photolysis. EUV light (13.5 nm, 92 eV), however, first creates a photoelectron, and this electron, or its subsequent daughter electrons create most of the chemical changes that occur during exposure. Despite the importance of these electrons, the details surrounding the chemical events leading to acid production remain poorly understood. Previously reported experimental results using high PAG-loaded resists have demonstrated that up to five or six photoacids can be generated per incident photon. Until recently, only electron recombination events were thought to play a role in acid generation, requiring that at least as many secondary electrons are produced to yield a given number of acid molecules. However, the initial results we have obtained using a Monte Carlo-based modeling program, LESiS, demonstrate that only two to three secondary electrons are made per absorbed EUV photon. A more comprehensive understanding of EUV-induced acid generation is therefore needed for the development of higher performance resists.
  • Takeo Watanabe, Kazuya Emura, Daiju Shiono, Yuichi Haruyama, Yasuji Mu ...
    2013 年 26 巻 5 号 p. 635-641
    発行日: 2013/06/25
    公開日: 2013/09/07
    ジャーナル フリー
    The chemical reaction in EUV irradiation of the several photoacid generators (PAGs) which employed triphenylsulfonium (TPS) salts as the cation of PAG, is discussed on the basis of the analysis using the SR absorption spectroscopy in the soft x-ray region. The fluorine atoms of the anion PAGs which have the chemical structure of the imidate type such as TPS-Imidate-1, and TPS-Imidate-2 strongly decomposed under EUV exposure. In the case of these PAG type, it is found that in addition to the ionization reaction, the anion decomposition reaction originated by the photo excitation of the photoacid generator might occur under EUV exposures. Thus the sensitivity seemed to be high comparison to tri-phenylsulfonium perfluorobutanesulfonate (TPS-Nonaflate) under EUV exposure. In the case of tri-phenylsulfonium camphorsulfonate (TPS-Cs), the anion which does not contain fluorine seemed to be very stable under EUV exposure and the sensitivity is lower than TPS-Nonaflate.
  • Takahiro Kozawa
    2013 年 26 巻 5 号 p. 643-648
    発行日: 2013/06/25
    公開日: 2013/09/07
    ジャーナル フリー
    The stochastic effect is the most serious concern in the development of high resolution lithography with high throughput. Line edge roughness (LER) is a typical phenomena caused by the stochastic effects in the resist pattern formation. In this study, the effects of the initial dispersion of protected units on LER were investigated by a Monte Carlo method on the basis of sensitization and reaction mechanisms of chemically amplified extreme ultraviolet (EUV) resists. The average number of protected units connected to a polymer before acid catalytic reaction was assumed to be 10. When the standard deviation of protected unit distribution (the distribution of the number of protected units connected to a polymer) was changed from 0 to 3, the standard deviation after the acid catalytic chain reaction was increased from 2.3 to 2.9. This increase in the standard deviation was estimated to correspond to 30% increase in LER. Although the reduction of initial standard deviation is somewhat required for the reduction of LER, it was found that the initial standard deviation of approximately 1 is sufficient.
  • Hideaki Tsubaki, Shinji Tarutani, Naoki Inoue, Hiroo Takizawa, Takahir ...
    2013 年 26 巻 5 号 p. 649-657
    発行日: 2013/06/25
    公開日: 2013/09/07
    ジャーナル フリー
    Chemically amplified resist materials with a different sensitivity were prepared to investigate impact of sensitivity on resolution at 15 nm half-pitch (hp) using a EUV micro-field exposure tool (MET) at SEMATECH Berkeley. Sensitivity at least slower than 30 mJ/cm2 was required to resolve 15 nm hp patterns using current EUV resists. It is noteworthy that resolution of 15 nm hp was limited by not only pattern collapse but also pinching of patterns. The same tendency is observed in E-beam patterning at 20 nm hp. A strong relationship between pinching and sensitivity in E-beam exposure indicates contribution of photon-shot noise on the pinching. Clear correlation between diffusion length and pinching using the E-beam exposure indicates that acid diffusion is another contributor on the pinching. Bound PAG into polymer and molecular PAG with a big anchor group showed almost same character on pinching. Key conclusion here is even in a molecular PAG, we can control acid diffusion to achieve 15 nm hp resolution capability. Strategy to improve sensitivity is to utilize resist with high deprotection efficiency. Polymer with a low thermal activation energy on deprotection (low Ea polymer) was demonstrated as a key technology to achieve 15 nm hp resolution with a faster sensitivity below 26 mJ/cm2. Special rinse material was effective for reducing LWR by - 20%. Sensitivity dependency of outgassing have been systematically discussed at first. A good linear correlation between a cleanable outgassing amount and exposure energy strongly indicates tradeoff relationship between outgassing and sensitivity. Applying a new EUV topcoat to resist demonstrated reduction of outgassing from 7.39 nm to below 0.1 nm with maintaining resolution.
  • Marie Kryask, Markos Trikeriotis, Christine Ouyang, Sovik Chakrabarty, ...
    2013 年 26 巻 5 号 p. 659-664
    発行日: 2013/06/25
    公開日: 2013/09/07
    ジャーナル フリー
    Hybrid nanoparticle photoresists and their patterning using DUV, EUV, 193 nm lithography and e-beam lithography has been investigated and reported earlier. The nanoparticles have demonstrated very high EUV sensitivity and significant etch resistance compared to other standard photoresists. The current study aims at investigating and establishing the underlying mechanism for dual tone patterning of these nanoparticle photoresist systems. Infrared spectroscopy and UV absorbance studies supported by mass loss and dissolution studies support the current model.
  • Brian Cardineau, Pascal Garczynski, William Earley, Robert L. Brainard
    2013 年 26 巻 5 号 p. 665-671
    発行日: 2013/06/25
    公開日: 2013/09/07
    ジャーナル フリー
    This paper describes the synthesis of acid-catalyzed chain-scission polymers and the lithographic results of these polymers in extreme ultraviolet (EUV) resist formulations. These platforms incorporate acid-catalyzed cleavable groups into the polymer backbone. Upon exposure to EUV light and bake, the polymer is transformed from high to low molecular weight segments in the exposed regions. Two polymers were made into resist formulations and tested at Lawrence Berkeley National Laboratories. One of these resists appeared to have high-resolution capabilities with modulation down to 14 nm h/p lines.
  • Isamu Takagi, Toshiya Takahashi, Norihiko Sugie, Kazuhiro Katayama, Yu ...
    2013 年 26 巻 5 号 p. 673-678
    発行日: 2013/06/25
    公開日: 2013/09/07
    ジャーナル フリー
    Witness-sample (WS) testing is the most favorable method for the simulation of EUV optics contamination by resist outgassing. Resists are expected to be correctly qualified with this method before they are used in HVM exposure tools. However, the present capacity of outgassing test facilities is insufficient for the total anticipated needs, based on the current capacity of existing EUV exposure tools. This paper defines a resist family for reducing the total number of required outgassing tests. The material contributions to outgassing are discussed on the basis of results obtained with model resists, where two types of WS test systems?high-power EUV light and EB sources?are used. A correlation between these light sources is also discussed.
  • Shuhei Shigaki, Ryuji Onishi, Hiroaki Yaguchi, Wataru Shibayama, Noria ...
    2013 年 26 巻 5 号 p. 679-683
    発行日: 2013/06/25
    公開日: 2013/09/07
    ジャーナル フリー
    The impact of EUV sensitive spin-on silicon hardmask (Si-HM) on EUV lithography have been tested in negative tone development (NTD) process. In the EUV sensitive Si-HM, we have confirmed that EUV chromophore (EC) unit is effective to improve resolution and process margin because it absorbed EUV light efficiently and promoted the acid generation from photo-resist. Moreover, radical generation (RG) unit was also effective to improve lithographic performance because it could assist more acid generation from photo-resist. Using the optimized EUV sensitive Si-HM, EUV lithography in the NTD process was investigated and hp22nm L/S patterning could be achieved successfully. The optimized EUV sensitive Si-HM have also been tested on EUV lithography in positive tone development (PTD) process. Hp24, 26nm L/S patterning could be achieved and photo-speed and DOF margin were improved compared to standard Si-HM. Our EUV sensitive Si-HM could be revealed to show process universality both of PTD and NTD processes.
  • Rikimaru Sakamoto, Noriaki Fujitani, Ryuji Onishi, Tokio Nishita
    2013 年 26 巻 5 号 p. 685-689
    発行日: 2013/06/25
    公開日: 2013/09/07
    ジャーナル フリー
    EUV lithography (EUVL) is the most promising candidate of next generation technology for hp20nm node device manufacturing and beyond. However, the power of light source, masks and photo resists are the most critical issues for driving the EUVL. Especially, concerning about deterioration of the patterning performance by Out-of-Band (OoB) light existing in the EUV light, and contamination problem of exposure tool due to the resist outgassing are the key issues which have to be resolved in the material view point toward the high volume manufacturing by EUVL. This paper proposes the solution for these critical issues by applying the top coat material. The key characteristics for top coat, name as OBPL(Outgassing and Out-of Band Protection Layer) material are the protection of the OoB effect, the prevention of the outgassing from resist as a barrier layer and enhancement of photo resist performance, like resist profile and process window.
    This paper describes the material design and performance. The optical property needs having the high absorbance of DUV light in OoB range and high transmittance for 13.5nm wavelength. Outgassing barrier property needs high broking property against non contamination chemical species from photo resist outgassing. The study of TOF-SIMS analysis indicates how much the polymer chemistry can impact for outgassing barrier property. Regarding the Lithography performance, using OBPL showed the prevention for OoB irradiation negative effect such as sensitivity variation, LWR and resist profile deterioration. The lithography performance using OBPL showed good process window and resist profile in PTI and NTI process.
feedback
Top