Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
Volume 26, Issue 6
Displaying 1-23 of 23 articles from this issue
  • Koji Inukai, Ken Maruyama, Takanori Kawakami, Ayothia Ramkrichnan, Yos ...
    2013 Volume 26 Issue 6 Pages 691-695
    Published: December 28, 2013
    Released on J-STAGE: February 02, 2014
    JOURNAL FREE ACCESS
    New resist, under layer, and topcoat materials specific to EUVL was developed and investigated for sub 20 nm hp patterning performance. High Tg resin and high absorption resin were developed and incorporated in to EUV resist. EUV resist including high Tg resin showed good LWR and local CD uniformity (LCDU). EUV resist containing high absorption resin showed higher resist sensitivity. New silicon type under-layer materials with different hydrophobicity were developed for further patterning performance improvement. Silicon type under-layer material with higher hydrophobic surface property improved line collapse margin which in turn improved resist resolution. EUV top-coat material was developed and examined for EUV resist sensitivity to out of band (OOB) radiation. EUV top-coat suppressed OOB influence and improved lithographic performance. EUV resist containing new materials resolved 15 nm half pitch lines and spaces and 20 nm contact hole patterns.
    Download PDF (1735K)
  • Patrick P. Naulleau, Christopher N. Anderson, Suchit Bhattarai, Andrew ...
    2013 Volume 26 Issue 6 Pages 697-704
    Published: December 28, 2013
    Released on J-STAGE: February 02, 2014
    JOURNAL FREE ACCESS
    Extreme ultraviolet chemically amplified resist performance has recently been extended to the 15-nm half pitch regime, yet line-edge roughness (LER) remains far from targets. Stochastic analysis, however, shows current LER performance to be material limited rather than photon limited. Interest in contact hole printing and contact size uniformity has dramatically increased over the past few years. As with line space printing, we find contact uniformity performance to be material limited rather than photon limited. Nevertheless, current resist parameters would lead to the photon noise alone exceeding the uniformity requirement by the 16-nm half pitch node with conventional masks. The use of phase shift masks is shown to provide a significant benefit. Combining phase shift masks with relatively modest improvements in resist is predicted to lead to target performance down to 12-nm half pitch and beyond.
    Download PDF (915K)
  • Akira Kawai
    2013 Volume 26 Issue 6 Pages 705-706
    Published: December 28, 2013
    Released on J-STAGE: February 02, 2014
    JOURNAL FREE ACCESS
    Download PDF (308K)
  • Masaru Sakamaki, Yasumasa Fukushima
    2013 Volume 26 Issue 6 Pages 707-712
    Published: December 28, 2013
    Released on J-STAGE: February 02, 2014
    JOURNAL FREE ACCESS
    Novel fluorescent chemosensors (2,3-NE, 2,3-NE-Ac) containing naphthalene as fluorescent signaling moiety and urea groups as anion binding sites were designed and synthesized, and their spectral behaviors toward various anions were investigated. The chemosensor 2,3-NE was prepared by reacting 2,3-diaminonaphthalene with ethyl isocyanatoacetate and 2,3-NE-Ac was obtained by saponifying 2,3-NE with Na2CO3. The chemosensor 2,3-NE was shown to signal selectively the detection of fluoride ion in the fluorescence spectra in CH3CN/DMSO (9:1, v/v) even extremely low concentration of fluoride anion. The significant spectral changes indicate that 2,3-NE can be a highly sensitive fluorescent chemosensor for fluoride anion by highly efficient quenching between the excited state of the fluorophore and fluoride ion. Job plot studies revealed that the presence of fluoride ion induces the formation of a 2:1 complex between 2,3-NE and fluoride ion. In addition, the binding mode with fluoride ion was investigated by 1H NMR experiments. The chemosensor 2,3-NE-Ac also recognized fluoride anion, but is approximately 10-fold lower in sensitivity than 2,3-NE by the influence of the carboxylic acid groups. 2,3-NE can become a promising chemosensor for fluoride ion with high sensitivity and selectivity.
    Download PDF (283K)
  • Yuta Noguchi, Akira Kawai
    2013 Volume 26 Issue 6 Pages 713-716
    Published: December 28, 2013
    Released on J-STAGE: February 02, 2014
    JOURNAL FREE ACCESS
    Local heating system composed with micro heater and microfluidic channel is designed and fabricated. Micro heater made of platinum film is formed on a slide glass by radio-frequency magnetron sputtering and lift-off processes. Microfluidic channel is designed to surround the micro heater. A SU-8 epoxy-based negative photoresist is employed as micro channel material and patterned by lithography process. The micro heater has ability to heat over 350°C at an electric power of 3.12W. Local heating property is evaluated by applying DC electric power. Heating area decreases 61.5% for micro channel filled with water by comparison with empty channel. Temperature of water in the micro channel is rise up 3.5°C and heat absorption, which is estimated about 275.3μJ. The microfluidic channel with water is an effective method for local hearing. The local heating system with integration of micro heater and channel is one candidate which can apply to various fields such as biological and biomedical tools.
    Download PDF (351K)
  • Kazutoshi Otsuka, Kenta Takahashi, Akira Kawai
    2013 Volume 26 Issue 6 Pages 717-720
    Published: December 28, 2013
    Released on J-STAGE: February 02, 2014
    JOURNAL FREE ACCESS
    The micro tube array in triple layer structure is constructed due to solubility difference phenomena. The triple layers are fabricated by photolithography process with i-line and SU-8 photoresists. The first and third layers are formed with SU-8 photoresist process. The second layer is formed by i-line photoresist process. Both i-line photoresist and unexposed SU-8 photoresist can be dissolved by dipping in the SU-8 developer at the same time. The exposed region of the SU-8 photoresist film is remained. The SU-8 photoresist film is formed in micro tube array structure. The shape of micro tube array is fabricated in rectangle of 10.0mm length and 3.05mm width. The array hole is formed 103μm width and 8.96μm thickness. By applying this process, unique structures of microfluidic system such as artificial capillary blood tube can be realized.
    Download PDF (540K)
  • Masaru Sakamaki, Akinori Kato, Yasumasa Fukushima
    2013 Volume 26 Issue 6 Pages 721-725
    Published: December 28, 2013
    Released on J-STAGE: February 02, 2014
    JOURNAL FREE ACCESS
    The interaction of BSA and a water-soluble cationic poly(heteroarylene methine) bearing pyridinium salts (WSCP) has been investigated by spectrophotometric and spectrofluorometric methods. The absorption and fluorescence spectra of BSA suggest that BSA and WSCP form a protein-polymer ground state complex due to electrostatic interaction between the negatively charged surface of BSA and the cationic side chains of WSCP. The interaction causes a decrease in fluorescence intensity of BSA due to static quenching. In addition, an enhancement in fluorescence signal of WSCP with BSA can be attributed to the surfactant property of BSA. The complex formation between BSA and WSCP results from not only electrostatic attractive force but also hydrophobic interaction, and WSCP can become a fluorescence probe for homogeneous BSA detection.
    Download PDF (280K)
  • Yosuke Sakurai, Kenta Takahashi, Akira Kawai
    2013 Volume 26 Issue 6 Pages 727-732
    Published: December 28, 2013
    Released on J-STAGE: February 02, 2014
    JOURNAL FREE ACCESS
    Silicon-based micro fuel cells are considerable interest for micro electromechanical system (MEMS) devices. Micro pattern fabrication by photolithography and etching process is effective for minimization of fuel cells. It is known that the photolithography process is difficult to employ for an electrolyte of perfluorosulfonic acid (PFSA) film due to swelling and peeling under wet process. In order to prevent the problems, a hexamethyldisilazane (HMDS) primer treatment is employed on the interface of photoresist/PFSA. Consequently, photoresist/PFSA double layer structure can be formed on the Si substrate with no defect formation. The double layer structure is selectively etched by reactive ion etching (RIE) process with CF4 gas in order to fabricate the micro pattern of PFSA film. The effect of HMDS primer treatment is observed as a hydrophobic effect by an analysis of wetting energy. The PFSA film with HMDS primer treatment should prevent to penetrate the liquid into the PFSA film under wet process.
    Download PDF (542K)
  • Susumu Harashima, Toshihiko Matsumoto
    2013 Volume 26 Issue 6 Pages 733-737
    Published: December 28, 2013
    Released on J-STAGE: February 02, 2014
    JOURNAL FREE ACCESS
    The radical telomerizations of acrylamide were carried out in water under UV irradiation in the presence of disulfide iniferters. The number-averaged molecular weights of the oligomers prepared using DTPA ranged from 41 to 54 kDa, and increasing telomerization time tended to decrease the molecular weight. The average number of functional end groups per one oligomer molecule, which was estimated from the total sulfur content and the number-averaged molecular weight, were in a range from 2.7 to 3.8. The result indicates that the sulfide DTPA acts as an iniferter to give the telechelic (α,ω-dicarboxyl) oligomers. The disulfide compounds caused easily the chain-transfer reaction in the order DTPA, DTA, DTBA. It is remarkable that the polymerization degree is controllable by changing the ratio of monomer and iniferter concentration, which means that the telomerization proceeded in the similar manner as a living radical polymerization.
    Download PDF (237K)
  • Yuta Noguchi, Kenta Takahashi, Akira Kawai
    2013 Volume 26 Issue 6 Pages 739-744
    Published: December 28, 2013
    Released on J-STAGE: February 02, 2014
    JOURNAL FREE ACCESS
    The pinhole formation in photoresist multilayer structure acts as a critical defect for device fabrication. The purpose of this study is pinhole prevention by hydrophilic treatment and analysis of pinhole formation mechanism in terms of surface free energy. A SU-8 photoresist top layer is spin-coated on a SU-8 bottom layer as simplest multilayer structure. Pinholes are formed on bottom layer with no-treatment, however, pinholes cannot be observed when bottom layer is treated by hydrophilic process. Subsequently, the surface free energy and spread coefficients of each SU-8 layer are evaluated. Because the absolute value of spreading coefficient Stop is small relatively in case of no-treatment, the pinning phenomenon can be caused by contaminants. A triple point, bottom layer, liquid top layer and air, appears at the pinning area. Air cannot intrude into interface between top-bottom layers due to the spreading coefficient “Sair>0”. Consequently, the pinhole expansion phenomenon would be dominated by tensional force and viscous-elasticity of liquid top layer. By hydrophilic treatment, surface free energy of bottom layer increases drastically, therefore the pinning phenomenon is prevented because of “Stop<<0”.
    Download PDF (716K)
  • Yuji Hosaka, Tomoko Gowa Oyama, Akihiro Oshima, Satoshi Enomoto, Masak ...
    2013 Volume 26 Issue 6 Pages 745-750
    Published: December 28, 2013
    Released on J-STAGE: February 02, 2014
    JOURNAL FREE ACCESS
    ZEP520A is one of the most popular positive electron beam (EB) resists used in research and photomask fabrication owing to its good spatial resolution, high sensitivity, and high dry etching resistance. Herein, EB-induced early reactions of ZEP520A were investigated via pulse radiolysis. Dissociative electron attachment and formation of a charge transfer complex were definitive contributing factors to the efficient degradation of ZEP520A. Furthermore, products induced by direct ionization of ZEP520A were observed in a highly concentrated ZEP520A solution in tetrahydrofuran, suggesting that early reactions in the ZEP520A solid film that were induced only by direct ionization could be simulated during pulse radiolysis in specific solutions.
    Download PDF (211K)
  • Yosuke Sakurai, Daisuke Tanaka, Shunsuke Ohata, Akira Kawai
    2013 Volume 26 Issue 6 Pages 751-756
    Published: December 28, 2013
    Released on J-STAGE: February 02, 2014
    JOURNAL FREE ACCESS
    Single chip micro direct methanol fuel cell (SC-μDMFC) is attractive as a chip scale power source for micro electromechanical system (MEMS) devices. A multilayer structure is commonly employed for the fuel cell. The durability of SC-μDMFC should be mostly affected by interface energy. In this study, SC-μDMFC is fabricated by employing a photoresist / Pt electrode / PFSA film multilayer structure. The fabrication of SC-μDMFC (chip size of 2.0mm×2.0mm ×500μm) is succeeded without any film peeling. Consequently, maximum output power of 0.07μW is obtained. The film peeling of SC-μDMFC is also analyzed by the interface energy method. As a result, several layers of weak adhesion are predicted. However, the film peeling could not occur by the contribution of the other mechanical adhesion factors. The experimental results indicate the durability of the SC-μDMFC.
    Download PDF (623K)
  • Tang-Hao Liu, Wen-Tung Cheng, Jiang-Jen Lin
    2013 Volume 26 Issue 6 Pages 757-764
    Published: December 28, 2013
    Released on J-STAGE: February 02, 2014
    JOURNAL FREE ACCESS
    A photosensitive emission polymer containing trimethylolpropane triacrylate as reactive monomer, Norrish I and II photo-initiators, pentaerythritol tetrakis (2-mercaptoacetate) as photo-sensitizer, and bis (n-salicylidene-4, 4'-diaminophenyl) methane named H2L1 and Alq3 as emitters were studied in this paper. The UV-visible absorption and photoluminescence spectra were particularly employed to examine the influence of the chemical structure of photo-initiator on the emission properties of photosensitive polymer. The results show that photo-initiators, such as I369 and I907, reduced the emission intensity due to the nitrogen in their chemical structure and the UV-visible absorption overlapping with the excitation of the emitters; whereas the photo-initiators of I184, I500, I651, and BP could provide extra energy to enhance the emission intensity of H2L1 and Alq3 respectively. In addition, through the photolithography process, the resolution of photosensitive emission polymer is about 15μm for demonstration
    Download PDF (541K)
  • Kenta Takahashi, Akira Kawai
    2013 Volume 26 Issue 6 Pages 765-768
    Published: December 28, 2013
    Released on J-STAGE: February 02, 2014
    JOURNAL FREE ACCESS
    In pattern developmemt, a removal property of bubbles formed on a concave window pattern made of DFR (dry film resist) is characterized. The sizes of the DFR window pattern are 100μm square and 50μm height. The fundamental factors of removal property can be explained by means of interaction analysis among interfacial energies. The experimental results obtained are analyzed based on the free energy balance model thermodynamically. The factor of micro bubble removal from the DFR window pattern is discussed for the suitable micro pattern fabrication. By adding a hydrophobic nonionic surfactant, both polar and dispersion components of surface energy of the developer clearly decrease. These components change act to decrease surface tension of the developer. The effectiveness of low surface tension developer on the removal property of micro bubble can be explained based on surface energy.
    Download PDF (546K)
  • Shuichi Sato, Hironaga Matsumoto
    2013 Volume 26 Issue 6 Pages 769-775
    Published: December 28, 2013
    Released on J-STAGE: February 02, 2014
    JOURNAL FREE ACCESS
    The photo-alignment characteristics of 2,3,5,6-tetramethyl-1,4-phenylene diamine (TeMPD)- based polyimides with a good electron-releasing property were systematically investigated, such as 3,3,4,4-diphenylsulfonetetracarboxylic dianhydride-TeMPD, 4,4-(hexafluoroisopropylidene) diphthalic anhydride-TeMPD, and cyclobutane-1,2,3,4-tetracarboxylic dianhydride-TeMPD. TeMPD-based polyimides with various charge-transfer energy levels, varying from the lowest occupied molecular orbital in the diamine component of the polyimide to the highest occupied molecular orbital in the acid component, were used. All of the TeMPD-based polyimide films irradiated with linearly polarized ultraviolet light exhibited photo-alignment characteristic with the same direction, regardless of the different imidization ratios. The chemical structure and electronic state depended on the formation of charge-transfer complexes and did not affect the apparent photo alignment.
    Download PDF (3007K)
  • Takumi Ueno, Seiji Nagahara
    2013 Volume 26 Issue 6 Pages 777
    Published: December 28, 2013
    Released on J-STAGE: February 02, 2014
    JOURNAL FREE ACCESS
    Download PDF (45K)
  • Roel Gronheid, Paulina Rincon Delgadillo, Arjun Singh, Todd R. Younkin ...
    2013 Volume 26 Issue 6 Pages 779-791
    Published: December 28, 2013
    Released on J-STAGE: February 02, 2014
    JOURNAL FREE ACCESS
    In recent years Directed Self-Assembly (DSA) has come up as a strong candidate technology for advanced lithography. DSA is a complementary technology that is used to enhance established projection lithography. In this paper, an overview is given of activities at imec that are driving towards readying DSA technology for implementation into semi-conductor manufacturing. Flows for line/space and contact hole pattern formation based on chemo- as well as grapho-epitaxy are available and used for understanding process sensitivities, evaluation of defect densities and the demonstration of integration approaches. The state-of-the-art of the various process flows is discussed along with the primary outstanding issues.
    Download PDF (15439K)
  • Shinya Minegishi, Takehiko Naruoka, Tomoki Nagai
    2013 Volume 26 Issue 6 Pages 793-800
    Published: December 28, 2013
    Released on J-STAGE: February 02, 2014
    JOURNAL FREE ACCESS
    The Directed Self Assembly (DSA) method is becoming a key complementary technology for enabling lithographic pattern feature shrinkage. Recent DSA technology has developed remarkable improvements in many aspects of materials and process. Block co-polymer, especially polystyrene-block-polymethylmethacrylate (PS-b-PMMA) is the standard DSA patterning material for lithography. However, the patterning limit of PS-b-PMMA is about 25nmP, and high-χ block co-polymer is necessary for sub 20nmP patterning. Not only block co-polymer, but also underlayer (neutral layer, pinning layer), top coat, and directing pattern forming photoresist are also necessary. In this paper, recent progress of directed self assembly materials and supporting materials are described.
    Download PDF (419K)
  • Hiroshi Morita
    2013 Volume 26 Issue 6 Pages 801-807
    Published: December 28, 2013
    Released on J-STAGE: February 02, 2014
    JOURNAL FREE ACCESS
    In the past 40 years, many kinds of simulation methods of micro phase separation of block copolymer were proposed. Each technic has some features derived from the original theory, and has strong and weak points in each simulation. We have developed the simulation software OCTA and performed the application study of OCTA to analyze the micro phase separated structure. In this paper, these simulation technics for micro phase separation of block copolymer are explained as a showcase of those technics from the point of view of DSA analysis. The advantages and disadvantages of these methods in the application study of DSA are indicated. I hope that this paper becomes the compass to select the suitable simulation method to analyze each DSA problem.
    Download PDF (514K)
  • Kenji Yoshimoto, Takashi Taniguchi
    2013 Volume 26 Issue 6 Pages 809-816
    Published: December 28, 2013
    Released on J-STAGE: February 02, 2014
    JOURNAL FREE ACCESS
    Directed self-assembly (DSA) is considered as a candidate for future patterning technology for semiconductor manufacturing. The DSA utilizes the phase separation of block copolymer and provides further resolution enhancement by the use of chemically and physically pre-patterned surface. In order for DSA to be a viable lithography solution, it is crucial to realize defect-free manufacturing process. In this study, we utilize the so-called Ohta-Kawasaki (OK) model to simulate the morphological defects of block copolymer formed on the chemically and physically pre-patterned surface. The OK model has advantages of the relatively low computational expense, scalability for large-scale simulations, and compatibility to the other simulation models such as self-consistent field theory (SCFT) through common physical properties of the materials. As test cases, we investigated the lamella defects of symmetric diblock copolymer formed on the chemically and physically pre-patterned surface. For the chemically pre-patterned surface, the two-dimensional (2D) dynamic simulations were performed including the thermal fluctuations, and the time evolution of the lamella defects was characterized as a function of the surface interactive parameter. In the three-dimensional (3D) dynamic simulations of the physically pre-patterned surface, effects of the trench width on the formation of the lamella defects was examined. Our preliminary results demonstrate that various types of the DSA lamella defects can be reasonably predicted by the OK model. It is expected that by calibrating the surface interactive parameters with experimental data, the OK model could be applied to various large-scale DSA simulations, e.g., hotspot analysis over a large area, and design/process optimizations with numerous parameters.
    Download PDF (887K)
  • Valeriy V. Ginzburg, Jeffrey D. Weinhold, Phillip D. Hustad, Peter Tre ...
    2013 Volume 26 Issue 6 Pages 817-823
    Published: December 28, 2013
    Released on J-STAGE: February 02, 2014
    JOURNAL FREE ACCESS
    Directed self-assembly (DSA) of block copolymers (BCPs) is a promising technology for advanced patterning at future technology nodes. We use Self-Consistent Field Theory (SCFT) to model directed self-assembly (DSA) of PS-PMMA block copolymers on chemically patterned surfaces (chemoepitaxy). We consider the scenario in which the surface is covered by a neutral brush, in which PS-preferential guiding lines are written. The lines have width W and the period of the line pattern is denoted as P. After the DSA process, one expects to see a lamellar pattern with period (P/n), where n is the line multiplication factor. Using SCFT, we investigate the stability of the templated lamellar pattern as a function of (P/L0) and (W/L0), where L0 is the bulk lamellar period. We find that the pattern is most stable if the guiding stripe pattern has a width which is slightly larger than the equilibrium lamellar half-period, and roughly corresponds to (W/L0) = 0.5-0.6, in agreement with earlier studies. The stability of the pattern also depends on the multiplication factor, n; as n is increased, the free energy differences between various morphologies diminish, making the formation of defects more likely. This has significant impact on the practicality of chemoepitaxy for sub-30 nm line and space applications.
    Download PDF (499K)
  • Seiichi Tagawa, Satoshi Enomoto, Akihiro Oshima
    2013 Volume 26 Issue 6 Pages 825-830
    Published: December 28, 2013
    Released on J-STAGE: February 02, 2014
    JOURNAL FREE ACCESS
    Over the past decade, the low intensity of extreme ultraviolet (EUV) light sources has been the most critical issue in the development of a promising, next-generation, high volume manufacturing (HVM) EUV lithography method. Specifically, enhancing the sensitivity of EUV resists to compensate for this low intensity is one of the most critical challenges for HVM implementations of EUV lithography. However, EUV light source power intensity remains one order less than the required value. Sensitivity enhancement of an EUV resist without any loss in other important properties such as resolution is inadequate to compensate for the low intensity of EUV sources in conventional EUV single exposure. Therefore, we propose a method for increasing the resist sensitivity considerably by combining the lithography of 1st EUV pattern exposure with a 2nd UV flood exposure (PF combination lithography) and a photosensitized chemically amplified resist (PS-CAR). This method achieves high sensitivity enhancement not only with EUV but also with electron-beam, ArF, and other types of pattern exposure. Thus, a sensitivity increase of more than one order without any loss in space resolution was achieved compared with conventional lithography by PF combination lithography of 1st EB pattern exposure with 2nd UV flood exposure and PS-CAR. Differences between EB and EUV resists include energy absorption processes, and the resist sensitivities of EUV can be predicted easily from the exposure results of EB lithography. Therefore, the reaction mechanism of EUV pattern exposure-UV flood exposure combination lithography of PS-CAR can be essentially evaluated with EB pattern exposure-UV flood exposure combination lithography of PS-CAR.
    Download PDF (326K)
  • Paulina Rincon-Delgadillo, Gordon Craig, Roel Gronheid, Paul F. Nealey
    2013 Volume 26 Issue 6 Pages 831-839
    Published: December 28, 2013
    Released on J-STAGE: February 02, 2014
    JOURNAL FREE ACCESS
    Directed self-assembly (DSA) of block copolymers is an effective method to pattern dense arrays of features with dimensions in the nanoscale. The implementation of a chemo-epitaxy flow on 300 mm wafers has allowed the investigation of multiple materials and processing conditions that define a large parameter space in which to optimize DSA for commercially relevant process windows and levels of defectivity. The fabrication of chemically nanopatterned substrates in an all-track process using 193 nm immersion tools provided 14 nm half-pitch line-and-space patterns with an exposure latitude of 35% and depth of focus > 200 nm. Key parameters such as the width of the preferential-wetting guiding stripes and background chemistry of the lithographically-defined chemical patterns govern the process latitude and pattern perfection. Advances in full-wafer metrology and identification of sources of defects resulted in a better understanding of the quality of the chemical patterns and therefore the quality of the structure of the assembled block copolymer. This work provides guidelines for the most impactful pathways to follow in the development of materials and processes to minimize the number of defects using DSA of BCP for high volume manufacturing.
    Download PDF (2006K)
feedback
Top