-
Tsubasa Mikie, Akinori Saeki, Naohiko Ikuma, Ken Kokubo, Shu Seki
2014 Volume 27 Issue 5 Pages
553-556
Published: July 08, 2014
Released on J-STAGE: September 25, 2014
JOURNAL
FREE ACCESS
The photochemical process of pentaaryl [60]fullerenes (PAC
60) has been investigated by fluorescence and transient absorption spectroscopies, aiming at their use in bulk heterojunction organic photovoltaic (OPV). Fluorescence quenching was observed by adding C
60 into PAC
60, where the intensity was decreased in an exponential manner. The photo-generated radical cation of PAC
60 was identified at 925 nm in the presence of electron acceptor. Motivated from the observed shallow molecular orbital levels of PAC
60, we performed conceptual study of all-fullerene OPV, where PAC
60 and [6,6]-phenyl-C
61-butyric acid methyl ester (PCBM) were presumably used as a p-type and n-type semiconductor, respectively. The power conversion efficiency was 0.007%, much smaller than 0.05% found for the conventional combination of P3HT (p-type) and PAC
60 (n-type). The mechanism is discussed on the basis of photoconductive and spectroscopic results.
View full abstract
-
Takahiro Muraoka, Nabanita Sadhukhan, Rui Li, Kazushi Kinbara
2014 Volume 27 Issue 5 Pages
557-560
Published: July 08, 2014
Released on J-STAGE: September 25, 2014
JOURNAL
FREE ACCESS
Synthesis and self-assembling properties of alternating amphiphilic compounds 1 and 2 were reported. 1 and 2 are consisting of a hydrophilic hexaol core, a tripentaerythritol unit, bearing two hydrophobic aromatic groups at the both ends. DLS measurements displayed that the amphiphiles form micrometer- to submicrometer-scale aggregates in MeOH, where the aromatic and/or hydrophobic interactions likely plays important roles in the self-assembly. This study demonstrated a utility of the tripentaerythritol unit to develop amphiphilic molecules with self-assembling functions.
View full abstract
-
Masaaki Omichi, Wookjin Choi, Satoshi Tsukuda, Masaki Sugimoto, Shu Se ...
2014 Volume 27 Issue 5 Pages
561-564
Published: July 08, 2014
Released on J-STAGE: September 25, 2014
JOURNAL
FREE ACCESS
In the all fluence, the observed cumulative probabilities agree mostly with the theoretical cumulative probability. Random patterns, again, are reproducible to the distribution of ion tracks, and the charged ion particle rarely interferes with another charged ion particles at low fluence. This is the first report that nearest neighbor method was also applied to track pattern. This analysis will be contributed to not only fundamental study but also applied study such as cancer therapy.
View full abstract
-
Gang Qin, Akira Watanabe
2014 Volume 27 Issue 5 Pages
565-568
Published: July 08, 2014
Released on J-STAGE: September 25, 2014
JOURNAL
FREE ACCESS
The number of spin-coating times of ZnO nanoparticle layer on the transmission and conductivity of the Ag/ZnO dual layer film have been investigated, where the Ag film was prepared by laser sintering method following a spin-coating of Ag nanoparticle ink. The transmittance increased about 10% in the visible region and the peak transmittance shifted toward the longer wavelength with increasing in the thickness of ZnO layer. The ρ
s of the dual layer film kept as low as 2.7 Ω/sq with the number of spin-coating times less than 8, and then the ρ
s rose to 93.4 Ω/sq with increasing in the spin-coating times.
View full abstract
-
Yurina Ohori, Toshihiro Hoashi, Yuichiro Yanagi, Takanori Okukawa, Shu ...
2014 Volume 27 Issue 5 Pages
569-575
Published: July 08, 2014
Released on J-STAGE: September 25, 2014
JOURNAL
FREE ACCESS
Bulk-heterojunction solar cells were fabricated using ternary blend dichlorobenzene solutions of poly[4,8-bis[(2-ethylhexyl)oxy]benzo[1,2-b:4,5-b']dithiophene-2,6-diyl] [3-fluoro-2-[(2-ethylhexyl)carbonyl]thieno[3,4-b]-thiophenediyl] (PTB7): poly(3- hexylthiophene)(P3HT):[6,6]-phenyl-C61-butyric acid methyl ester (PC
61BM) with different weight ratios between PTB7 and P3HT on an indium-tin-oxide-coated glass substrate. The UV-vis absorption spectra of these ternary blend films show that the photon absorptions at the wave lengths of 500 and 700 nm can be adjusted depending on the P3HT polymer weight fraction in the PTB7 and P3HT total weight. The measurement results of the solar cell performance showed that the open circuit voltage V
oc continuously increased from 0.62 to 0.79 V as the P3HT fraction increased from 0 to 20 %, while it gradually decreased above 30%. The short circuit current J
sc slightly decreased from 10.4 to 9.0 A/cm
2 up to 20%, and it suddenly dropped above 30%. The power conversion efficiency of 4% decreased to 1.8% when the P3HT fraction increased to 30%. These results may suggest that the transports of photo excited electrons and holes between the PTB7 nano domains and P3HT nano domains are disturbed by the differences between the HOMO and LUMO levels of the PTB7 and P3HT.
View full abstract
-
Daichi Kaneto, Tatsuki Yanagidate, Yuichiro Yanagi, Shunjiro Fujii, Hi ...
2014 Volume 27 Issue 5 Pages
577-581
Published: July 08, 2014
Released on J-STAGE: September 25, 2014
JOURNAL
FREE ACCESS
Bulk heterojunction solar cells were fabricated using poly[[4,8-bis[(2-ethylhexyl)oxy]benzo [1,2-b:4,5-b']dithiophene-2,6-diyl] [3-fluoro-2- [(2-ethylhexyl)carbonyl]thieno[3,4-b]-thiophenediyl] (PTB7) and [6,6]-phenyl C71 butyric acid methyl ester (PC
71BM) after a layer of poly(3,4- ethylenedioxythiophene):poly(styrene sulfonate) (PEDOT:PSS) was deposited on an indium tin oxide (ITO)-coated glass substrate. The fabricated structures were glass/ITO/PEDOT:PSS/PTB7:PC
71BM/Cs
2CO
3/Al with or without the cesium carbonate (Cs
2CO
3) buffer layer, and the effect of the buffer layer on the performance of the solar cells was investigated. The Cs
2CO
3 layer with a thickness of 0.8 nm significantly increased the short circuit current density, open-circuit voltages and fill factors of the solar cells, presumably because of the better contact between the active layer and the cathode and the work function shift of the aluminum cathode. As a result, the power conversion efficiency increased from 1.09 to 2.12%. However, when thickness of the Cs
2CO
3 exceeded 2 nm, significant degradation was observed. From the results of a stability test, it was suggested that the inserted Cs
2CO
3 layer had a minimal influence on the lifetime of the unpakcaged devices.
View full abstract
-
Ying-Jie Liao, Da-Xing Yuan, Mei-Feng Xu, Zhi-Ming Jin, Zhao-Kui Wang, ...
2014 Volume 27 Issue 5 Pages
583-587
Published: July 08, 2014
Released on J-STAGE: September 25, 2014
JOURNAL
FREE ACCESS
The authors investigate the cathode interface effects in polymer/fullerene based solar cell by using LiF, Liq and/or Bphen as the interfacial layers. Enhanced carrier extracting is observed by using Liq as the electron transport layer. A maximum power conversion efficiency of 3.75% is obtained in the case of Liq, presenting a 14% enhancement compared with the device with LiF as the electron transport layer. A detailed analysis of the capacitance as function of frequency and bias yields information about interfacial charges transport as well as the extraction and accumulation of charges is carried out. Cole-Cole impedance plots illustrate the interfacial resistance of different cathode buffer layer based device. The device shows good charge transport and the photo-generated changes could be effectively collected by the electrode and less charge accumulation when Liq is uswed as the cathode buffer layer.
View full abstract
-
Eishi Shiobara
2014 Volume 27 Issue 5 Pages
589-593
Published: July 08, 2014
Released on J-STAGE: September 25, 2014
JOURNAL
FREE ACCESS
EUV lithography is one of the promising technologies for manufacturing devices at 16 nm half-pitch node and below. EUV resists are required to improve the resolution, line width roughness (LWR), and sensitivity. However it is generally thought that the lithographic performance is determined by the trade-off relationship among these factors. Moreover, resist outgassing is another issue with EUV resists, as the outgassing of resists during EUV exposure can cause carbon contamination on EUV mirrors, thereby degrading its reflectivity. This paper outlines the recent progresses in EUV resist technology at the EUVL Infrastructure Development Center.
View full abstract
-
Mark Neisser, Shih-Hui Jen, Jun Sung Chun, Alin Antohe, Long He, Patri ...
2014 Volume 27 Issue 5 Pages
595-600
Published: July 08, 2014
Released on J-STAGE: September 25, 2014
JOURNAL
FREE ACCESS
EUV lithography is needed by the semiconductor industry for both its resolution and for the process simplification it provides compared to multiple patterning. However it needs many innovations to make it a success and is an expensive technology to develop. Major areas of concern are source power, defect free mask availability, defect freedom during use and resist performance. Long term it will also need improved mask and material technology for higher NA EUV imaging. SEMATECH is working on mask technology, defects and resist technology for EUV imaging and has developed new mask inspection technology, novel approaches to EUV resist, lower defectivity mask blanks and improved cleaning methods. SEMATECH’s work enables the semiconductor industry to share the cost of developing EUV technology and accelerates the progress of EUV.
View full abstract
-
Danilo De Simone, Anne Marie Goethals, Frieda Van Roey, Tao Zheng, Phi ...
2014 Volume 27 Issue 5 Pages
601-610
Published: July 08, 2014
Released on J-STAGE: September 25, 2014
JOURNAL
FREE ACCESS
In the last years the continuous efforts on the development of EUV lithography has allowed to push the lithographic performances of the EUV photoresists on the ASML NXE:3100 full field exposure tool at imec. The latest chemically amplified photoresists can reach an ultimate resolution of 16 nm and 24 nm for line-space (L/S) and dense contacts (CH), respectively, but the major issue on EUV photoresists remains to simultaneously meet resolution, sensitivity, line-edge roughness (LER) for LS and local CD uniformity (LCDU) for CH, suggesting that the desired performance characteristics of EUV photoresists may require the development of new EUV materials. Aiming to this, imec has recently started a new project to look into novel materials for EUV lithography to explore alternative approaches that can offer superior characteristics in photoresist imaging: improved LER and line collapse, high sensitivity and high etch resistance. In this paper we report the first results from the exploration of new EUV alternative materials and the latest results from the conventional EUV photoresist evaluation and process optimization at imec towards the ASML NXE:3300 full field exposure tool.
View full abstract
-
Justin Torok, Bharath Srivats, Shahid Memon, Henry Herbol, Jonathan Sc ...
2014 Volume 27 Issue 5 Pages
611-615
Published: July 08, 2014
Released on J-STAGE: September 25, 2014
JOURNAL
FREE ACCESS
One of the obstacles hindering the transition from 193 nm to extreme ultraviolet (EUV) photolithography is photoresist performance. However, design of next generation chemically-amplified EUV resists necessitates that we fully understand the mechanisms underlying photoacid generation. In particular, we would like to determine the effective distance the low-energy electrons generated during EUV exposure travel within resists while continuing to induce photoacid generator (PAG) decomposition, since diffusion length carries important implications for resolution and line edge roughness. Here, we demonstrate two novel experimental approaches for obtaining electron diffusion length in resists using top-down electron beam exposure: thickness loss experiments and in situ mass spectrometry.
View full abstract
-
Minoru Toriumi, Toshiro Itani
2014 Volume 27 Issue 5 Pages
617-622
Published: July 08, 2014
Released on J-STAGE: September 25, 2014
JOURNAL
FREE ACCESS
Extreme ultraviolet (EUV) resist materials continue to gain attention as one of the most critical components for EUV lithography. An ideal EUV resists must simultaneously improve the resolution, line-edge roughness (LER), and sensitivity (RLS). In a resist film the inhomogeneous structures in the nanometer region tend to have significant direct influence on the resolution and LER, and indirect influence on the sensitivity. Therefore in this study, we have investigated the inhomogeneity of photoacid generators (PAGs) in a hybrid resist for EUV lithography using molecular dynamics simulations. The simulation results indicate the inhomogeneous positions and motions of the PAG cations and anions in the hybrid resist film. The distance between the PAG cation and anion does not play an important role in influencing the positions and motions of PAG. The correlation between the appearance frequency and the distance between the PAG cation and anion was very weak.
View full abstract
-
Atsushi Sekiguchi, Takeo Watanabe, Hiroo Kinoshita
2014 Volume 27 Issue 5 Pages
623-629
Published: July 08, 2014
Released on J-STAGE: September 25, 2014
JOURNAL
FREE ACCESS
Semiconductor microfabrication technologies for the 22-nm generation require high-performance resists with superb exposure characteristics. Specifically, this means resolution, exposure sensitivity, and edge roughness values not exceeding 18 nm, 10 mJ/cm
2, and 2 nm (3σ), respectively. Resist exposure characteristics must be evaluated using actual exposure spectra. Since six-mirror exposure optics now represents the mainstream, we installed a resist evaluation system[1] capable of obtaining reflectance spectra of these exposure optics at the BL3 beamline in the NewSUBARU synchrotron radiation facility and performed resist evaluations. The system allows evaluations of various parameters for lithography simulations, including exposure sensitivity, acid diffusion length, light desorption characteristics, Dill's ABC parameters, and quenching rate parameters. Using the top coat method, we examined the diffusion behavior of the acid generated by the PAG. This paper reports the results of our study.
View full abstract
-
Kazuya Emura, Takeo Watanabe, Masato Yamaguchi, Hirohito Tanino, Tsuba ...
2014 Volume 27 Issue 5 Pages
631-638
Published: July 08, 2014
Released on J-STAGE: September 25, 2014
JOURNAL
FREE ACCESS
Simultaneous achievement of high sensitivity and low line edge roughness (LWR) is necessary in EUV resist. The chemical reaction analysis of EUV (Extreme Ultraviolet) chemical amplified (CA) resist and acid diffusion length evaluation was carried out. In order to achieve low LWR of the CA resist, the large chemical structure of the anion of photoacid generator (PAG) is required for shortening the acid diffusion length. On the other hand, in order to increase the sensitivity, on the basis of the chemical reaction analysis using the soft x-ray absorption spectroscopy, the decomposition reaction of the large chemical structure of the PAG anion should be taken in account in addition of ionization reaction. However, if the decomposition reaction occur, the acid diffusion length will become shorter than that as expected. It is found that the Imidate-type of anion of PAG has high sensitivity and short diffusion length. The chemical reaction analysis by the soft x-ray absorption spectroscopy using the synchrotron radiation with the combination analysis of the acid diffusion are useful method for the mitigation of high sensitivity and low LWR.
View full abstract
-
Kazunori Sakai, Motohiro Shiratani, Tomohisa Fujisawa, Koji Inukai, Ka ...
2014 Volume 27 Issue 5 Pages
639-644
Published: July 08, 2014
Released on J-STAGE: September 25, 2014
JOURNAL
FREE ACCESS
Extreme ultraviolet (EUV) lithography is a candidate for the manufacturing of semiconductor devices at the 22 nm half pitch node and below. EUV lithography requires high performance resist with limited outgassing property. The key challenge for EUV resist is the simultaneous requirement of ultrahigh resolution (R), low line edge roughness (L) and high sensitivity (S) for lines and spaces (LS) features. To achieve high sensitivity, containing fluorine atom is one of the popular methods because the fluorine atom absorbs EUV light strongly. However, when the resist polymer has fluorine atom, the contact angle (CA) of the resist becomes high. It is difficult to rinse high CA resist so the containing fluorine atom have a problem of defects. In this paper, we will report the relationship of line edge roughness and acid diffusion length and the method to diminish defects caused by high CA. We achieved good resolution and LER improvement by controlling acid diffusion length. Moreover, we found the relationship of the number of defects and the structure of the monomers containing fluorine units.
View full abstract
-
Shinji Tarutani, Hideaki Tsubaki, Toru Fujimori, Hiroo Takizawa, Takah ...
2014 Volume 27 Issue 5 Pages
645-654
Published: July 08, 2014
Released on J-STAGE: September 25, 2014
JOURNAL
FREE ACCESS
Polymers with a different Tg and activation energy were prepared to clarify influences of acid diffusion on resolution at 15 nm half-pitch (hp) and 14 nm hp using a EUV micro-field exposure tool (MET) at LBNL. Resolution on such a narrow pattern was limited by collapse and pinching. Clear relationship between pinching numbers and polymer Tg indicates that acid diffusion is one of major contributors on the pinching. In addition, polymers with a low thermal activation energy (Ea) on deprotection were effective for reducing pinching. This is probably originated from its high chemically amplification character even in low post-exposure bake (PEB) temperature to obtain both large chemical contrast and short acid diffusion. On the other hand, a good correlation between a cleanable outgassing amount and Ea indicates trade-off relationship between outgassing and resolution. Advantages of n-butyl acetate (nBA) developer have been investigated in viewpoint of dissolution uniformity. Surface roughness of a non-patterned resist film at half-exposed area, which was well correlated with LWR, was measured by AFM as indicator of uniformity in development process. To avoid any differences in resist chemistry other than development process, cross linking negative tone resist was applied for this study. The surface roughness obtained by nBA, which is conventional negative-tone imaging (NTI) developer, was 32 % lower than that obtained by 2.38 % TMAH solution. NTI resist system with a nBA developer and optimized resist reduced LWR from 4.8 nm to 3.0 nm in comparison with conventional positive tone resist with a 2.38 % TMAH developer. In addition, advantage on semi-dense trench patterning was well defined. New EUV sensitizer with 1.15 times higher EUV absorption resulted in 1.15 times higher acid yield by EUV exposure. Lithography performance of the new EUV sensitizer has been investigated by MET at SEMATECH Albany. Sensitivity was indeed improved from 20 mJ/cm
2 to 17 mJ/cm
2 according to the acid yield increase, but resolution was significantly degraded.
View full abstract
-
James Passarelli, Miriam Sortland, Ryan Del Re, Brian Cardineau, Chand ...
2014 Volume 27 Issue 5 Pages
655-661
Published: July 08, 2014
Released on J-STAGE: September 25, 2014
JOURNAL
FREE ACCESS
We present the synthesis and preliminary lithographic evaluation of Molecular Organometallic Resists for EUV (MORE) that contain post-transition metals. These elements have high EUV optical density so they can utilize a large fraction of the incident photons. We will describe two technical approaches for EUV resist platforms that contain bismuth. Approach 1: Combination of organometallic compounds with photoacid generators. Approach 2: Combination of high-oxidation state metal-center oligomers that utilize carboxylate anions bound to the metal centers.
View full abstract
-
Jing Jiang, Souvik Chakrabarty, Mufei Yu, Christopher K. Ober
2014 Volume 27 Issue 5 Pages
663-666
Published: July 08, 2014
Released on J-STAGE: September 25, 2014
JOURNAL
FREE ACCESS
Previous studies of methacrylate based nanoparticle have demonstrated the excellent pattern forming capability of these hybrid materials when used as photoresists under 13.5 nm EUV exposure. HfO
2 and ZrO
2 methacrylate resists have achieved high resolution (∼22 nm) at a very high EUV sensitivity (4.2 mJ/cm
2). Further investigations into the patterning process suggests a ligand displacement mechanism, wherein, any combination of a metal oxide with the correct ligand could generate patterns in the presence of the suitable photoactive compound. The current investigation extends this study by developing new nanoparticle compositions with trans-dimethylacrylic acid and o-toluic acid ligands. This study describes their synthesis and patterning performance under 248 nm KrF laser (DUV) and also under 13.5 nm EUV exposures (dimethylacrylate nanoparticles) for the new resist compositions.
View full abstract
-
James Cameron, James Thackeray, Vipul Jain, Paul LaBeaume, Suzanne Col ...
2014 Volume 27 Issue 5 Pages
667-675
Published: July 08, 2014
Released on J-STAGE: September 25, 2014
JOURNAL
FREE ACCESS
Implementation of EUV Lithography (EUVL) for device high volume manufacturing (HVM) requires advanced photoresists capable of meeting the criteria of advanced logic and memory design rules. To achieve the level of performance required, resists must show excellent performance in terms of resolution, LWR (or CDU) and sensitivity. In addition, resists must meet the outgassing criteria required for HVM on the NXE toolset. Lastly, it is anticipated that resists with low OOB sensitivity will also be required. In this paper, we describe our progress in all of these areas. Based on our results, we believe we are on track to deliver production worthy resists for the EUVL era.
View full abstract