Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
Volume 27, Issue 6
Displaying 1-14 of 14 articles from this issue
  • Kazuto Kunita
    2014 Volume 27 Issue 6 Pages 677-684
    Published: December 29, 2014
    Released on J-STAGE: January 28, 2015
    JOURNAL FREE ACCESS
    A highly sensitive photopolymerization system under atmospheric conditions are desired in industry, such as the field of printing plates widely used in commercial printing. We have developed a new series of α-acyloxymethacrylate cross-linking agents which exhibit an excellent curability even in the presence of atmospheric oxygen. Molecular orbital calculations indicate that the formation enthalpy of inhibition reaction by oxygen is much less favorable than that of polymerization for α-acyloxymethacrylate compared to conventional acrylates or methacrylates. The new cross-linking agents realized photopolymerizable printing plates without any oxygen barrier layer.
    Download PDF (430K)
  • Takashi Azuma, Yasumasa Fukushima
    2014 Volume 27 Issue 6 Pages 685-689
    Published: December 29, 2014
    Released on J-STAGE: January 28, 2015
    JOURNAL FREE ACCESS
    Two fluorescent peptidyl chemosensors containing cysteine and a dansyl group (DNS-γGlu-Cys, DNS-Cys-Glu) were prepared via Boc solution phase peptide synthesis for monitoring heavy metal ions on the basis of phytochelatin peptide sequence. DNS-Cys-Glu displayed a selective fluorescence turn-off response to Hg2+ over other heavy metal ions. In contrast, the addition of various metal ions to DNS-γGlu-Cys resulted in no significant fluorescent changes. For DNS-Cys-Glu, because the thiol group of Cys is adjacent to the sulfonamide group, they can bind to Hg2+ by cooperative electrostatic interactions. As a result, the quenching of the fluorescence intensity can be explained in terms of effective electron transfer from the excited dansyl fluorophore to the bound Hg2+. DNS-Cys-Glu could be applicable to homogeneous Hg2+ detection.
    Download PDF (370K)
  • Yako Kunii, Akira Kawai
    2014 Volume 27 Issue 6 Pages 691-694
    Published: December 29, 2014
    Released on J-STAGE: January 28, 2015
    JOURNAL FREE ACCESS
    A functional micro resists structures with tactile characters of the human are developed due to sweat, sebum and water influence. A new method of tactile sensory evaluation with the pentagon-shaped graph is employed. It is found that the micro pattern acts to wet and to feel smooth and comfortable by immersing some kinds of liquids. By the surface energy analysis, the tactile sensory evaluation can be explained at the point of wetting nature.
    Download PDF (905K)
  • Kazuto Kunita, Hidekazu Oohashi, Yasuhito Ooshima
    2014 Volume 27 Issue 6 Pages 695-702
    Published: December 29, 2014
    Released on J-STAGE: January 28, 2015
    JOURNAL FREE ACCESS
    New series of diazonium salts and iodonium salts, which show practical level of sensitivity and stability as an IR sensitive photoinitiator for CTP plates, are developed. We investigated the substituent effect on the pheny group adjacent to diazonium or iodonium group. The results show 2,4,6-trialkoxy substituted phenyl group can beautifully balance sensitivity, thermal stability and light stability for both series of initiators. These new onium salts made it possible to create CTP plates that are processible without darkroom conditions.
    Download PDF (434K)
  • Kenji Kimura, Yasumasa Fukushima
    2014 Volume 27 Issue 6 Pages 703-709
    Published: December 29, 2014
    Released on J-STAGE: January 28, 2015
    JOURNAL FREE ACCESS
    The biodegradable amphiphilic graft copolymer (PolyLysPy-PCL) containing hydrophilic pyrene end-labeled poly(L-lysine) (PolyLysPy) backbone and hydrophobic polycaprolactone (PCL) side chains was synthesized by the amide condensation of PolyLysPy and PCL. PolyLysPy was prepared from ring-opening polymerization of N-ε-benzyloxycarbonyl-L-lysine N-carboxyanhydride (Lys(Z)-NCA) initiated by 1-pyrenemethylamine and followed by removing the side-chain protective groups. PCL was prepared from the enzymatic ring-opening polymerization of ε-caprolactone by a porcine pancreas lipase. The structures and number-average molecular weights (Mn) of the graft copolymer and its precursors were confirmed and investigated by the 1H NMR measurement. The self-assembly of the graft copolymer in water/DMSO mixture solution was examined by fluorescent signals assigned to the pyrene moiety. The increase in the grafting percent of PCL to a PolyLysPy polymer backbone can facilitate the self-assembly by the hydrophobic interaction of associated PCL grafts.
    Download PDF (473K)
  • Akira Kawai, Shogo Ohtani
    2014 Volume 27 Issue 6 Pages 711-712
    Published: December 29, 2014
    Released on J-STAGE: January 28, 2015
    JOURNAL FREE ACCESS
    Permittivity of a SU-8 (3050) resist film baked at 100, 150, 200 and 250°C is characterized by a typical capacitance method in the frequency range from 100Hz to 5MHz. The permittivity is relatively constant in the frequency range, but slight dependency on baking temperature can be confirmed. The dielectric loss tangent of resist film increases gradually up to 0.03 but relatively low. The typical dielectric properties of resist material can be confirmed experimentally, which indicates an application possibility of resist material as an electronic device component material.
    Download PDF (421K)
  • Wei Min Zhou, Takafumi Fukushima, Masao Tomoi, Toshiyuki Oyama
    2014 Volume 27 Issue 6 Pages 713-717
    Published: December 29, 2014
    Released on J-STAGE: January 28, 2015
    JOURNAL FREE ACCESS
    In this study, we successfully fabricated positive photosensitive epoxy resins based on the Reaction Development Patterning (RDP) by using B-staged polymers prepared by polyaddition between an epoxy base resin and an acid anhydride curing agent during mixing and prebaking processes. Application of RDP to the B-staged polymers afforded positive fine patterns by the use of 15-mol% sodium 2-aminoethoxide in ethanolamine / NMP mixtures. Furthermore, the fine epoxy patterns were not deformed after heat treatment for complete curing, indicating that the cress-linked epoxy patterns have high tolerability to thermal stresses. These results suggest the possibility of practical application of RDP-based positive photosensitive epoxy resins.
    Download PDF (428K)
  • Naho Tanaka, Yasumasa Fukushima
    2014 Volume 27 Issue 6 Pages 719-721
    Published: December 29, 2014
    Released on J-STAGE: January 28, 2015
    JOURNAL FREE ACCESS
    We have demonstrated that the cholesteric liquid crystal film deposited on the rubber sheet exhibits tunable, reversible and repeatable mechanochromic-responsive property, and the color can be tuned across wide visible region from brownish-orange to deep-blue. The preparation process is very simple and can be used for mass production. The mechanochromic- responsive films have potential for various applications in new mechanical sensors because of their color-tuning capability.
    Download PDF (420K)
  • Seiji Nagahara, Masayuki Endo
    2014 Volume 27 Issue 6 Pages 723
    Published: December 29, 2014
    Released on J-STAGE: January 28, 2015
    JOURNAL FREE ACCESS
    Download PDF (97K)
  • Patrick Naulleau, Christopher Anderson, Weilun Chao, Suchit Bhattarai, ...
    2014 Volume 27 Issue 6 Pages 725-730
    Published: December 29, 2014
    Released on J-STAGE: January 28, 2015
    JOURNAL FREE ACCESS
    Despite achieving 15-nm half pitch, the progress in extreme ultraviolet chemically amplified resist has arguably decelerated in recent years. We show that this deceleration is consistent with approaching stochastic limits both in photon counts and material parameters.
    Contact hole printing is a crucial application for extreme ultraviolet lithography and is particularly challenged by resist sensitivity due to inherent inefficiencies in darkfield contact printing. Checkerboard strong phase shift masks have the potential to alleviate this problem through a 4× increase in optical efficiency. The feasibility of this method is demonstrated using the SEMATECH-Berkeley Microfield Exposure Tool pseudo phase shift mask configuration and preliminary results are provided on the fabrication of an etched multilayer checkerboard phase shift mask.
    Download PDF (4439K)
  • Hidetami Yaegashi, Kenichi Oyama, Shoichi Yamauchi, Arisa Hara, Sakura ...
    2014 Volume 27 Issue 6 Pages 731-738
    Published: December 29, 2014
    Released on J-STAGE: January 28, 2015
    JOURNAL FREE ACCESS
    One of the most promising techniques for the extension of 193nm immersion lithography must be Self-Aligned Multiple Patterning (SAMP) at present. We have studied this SAMP from several aspects, which are scaling capability, mitigation of process complexity, pattern fidelity, affordability and so on. On the other hand, Gridded Design Rule (GDR) concept with single directional layout (1D layout) extended the down-scaling with 193-immersion furthermore and relieved the process variation and process complexity, represented in Optical Proximity Effect (OPE), by simplification of layout design. In 1D layout fabrication, key process steps might be edge placement control for grating lines and controllability of hole-shrink technique for line-cutting.
    This paper introduces current demonstration results on pattern transfer fidelity control and hole-shrink technique as combined with an unique pattern shape repair approach.
    Download PDF (3272K)
  • Hisashi Nakagawa, Takehiko Naruoka, Tomoki Nagai
    2014 Volume 27 Issue 6 Pages 739-746
    Published: December 29, 2014
    Released on J-STAGE: January 28, 2015
    JOURNAL FREE ACCESS
    Extreme ultraviolet lithography (EUVL) has been an attractive method as next generation lithography (NGL) over 20 years, and high-volume manufacturing (HVM) is now going to be realized by great progresses in materials as well as EUV source power enhancement. In this paper, recent reported materials for EUVL are summarized ranging from conventional organic material base resists to novel inorganic material base resists.
    Download PDF (348K)
  • Patrick Naulleau, Christopher Anderson, Weilun Chao, Suchit Bhattarai, ...
    2014 Volume 27 Issue 6 Pages 747-750
    Published: December 29, 2014
    Released on J-STAGE: January 28, 2015
    JOURNAL FREE ACCESS
    Progress in the ultimate performance of extreme ultraviolet resist has arguably decelerated in recent years suggesting an approach to stochastic limits both in photon counts and material parameters. Here we report on the performance of a variety of leading extreme ultraviolet resist both with and without chemical amplification. The measured performance is compared to stochastic modeling results using the Multivariate Poisson Propagation Model. The results show that the best materials are indeed nearing modeled performance limits.
    Download PDF (1591K)
  • Mikihito Takenaka, Hiroshi Yoshida, Hiroki Ogawa
    2014 Volume 27 Issue 6 Pages 751-755
    Published: December 29, 2014
    Released on J-STAGE: January 28, 2015
    JOURNAL FREE ACCESS
    This article reviews the technique to observe the morphologies of block copolymer in thin films: grazing incidence small-angle X-ray scattering (GISAXS). In-situ measurement of GISAXS with synchrotron X-ray incident beam enables us to measure the self-assembling processes of block copolymer thin film during solvent anneal and spin cast process.
    Download PDF (2085K)
feedback
Top