Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
Volume 28, Issue 1
Displaying 1-23 of 23 articles from this issue
  • Christopher Kemper Ober
    2015 Volume 28 Issue 1 Pages 3-4
    Published: June 23, 2015
    Released on J-STAGE: July 28, 2015
    JOURNAL FREE ACCESS
    The Photopolymer Science and Technology Award No.151100, the Outstanding Achievement Award 2015, was presented to Christopher Kemper Ober (Cornell University) for his outstanding achievements in photopolymer science and technology, “Development of new advanced photoresist for microelectronics”
    Download PDF (309K)
  • Dustin W. Janes, Takejiro Inoue, Bradley D. McCoy, Ishita Madan, Paul ...
    2015 Volume 28 Issue 1 Pages 5-9
    Published: June 23, 2015
    Released on J-STAGE: July 28, 2015
    JOURNAL FREE ACCESS
    The Photopolymer Science and Technology Award No. 152100, the Best Paper Award 2015, was presented to Dustin W. Janesa, Takejiro Inoueb,c, Bradley D. McCoya, Ishita Madana, Paul F. Nealeyb, C. Grant Willsona,d, and Christopher J. Ellisona (aMcKetta Department of Chemical Engineering, The University of Texas at Austin, bInstitute for Molecular Engineering, The University of Chicago, cElectronic and Imaging Material Research Laboratories, Toray Industries Inc., dDepartment of Chemistry, The University of Texas at Austin) for their outstanding contribution published in Journal of Photopolymer Science and Technology, 27, (2014) 435-440, entitled “Photochemical Reactions for Replicating and Aligning Block Copolymer Thin Film Patterns”.
    Download PDF (957K)
  • Shinji Matsui, Hiroshi Hiroshima, Yoshihiko Hirai, Masaru Nakagawa
    2015 Volume 28 Issue 1 Pages 9-11
    Published: June 23, 2015
    Released on J-STAGE: July 28, 2015
    JOURNAL FREE ACCESS
    The Photopolymer Science and Technology Award No.152200, the Best Paper Award 2015, was presented to Shinji Matsuia,e, Hiroshi Hiroshimab,e, Yoshihiko Hiraic,e, and Masaru Nakagawad,e, (aLaboratory of Advanced Science and Technology for Industry, University of Hyogo, bResearch Center for Ubiquitous MEMS and Micro Engineering, National Institute of Advanced Science and Technology, cGraduate School of Engineering, Osaka Prefecture University, dInstitute of Multidisciplinary Research for Advanced Materials, Tohoku University, eJST-CREST), for their outstanding contribution published in Journal of Photopolymer Science and Technology, 27, (2014) 61-72, entitled “Breakthrough Achievement In Nanoimprint Lithography using PFP Condensable Gas”
    Download PDF (269K)
  • Hao Tang, Jeffrey C. Shearer, Lin Lee Cheong, Nicole A. Saulnier, Stua ...
    2015 Volume 28 Issue 1 Pages 13-16
    Published: June 23, 2015
    Released on J-STAGE: July 28, 2015
    JOURNAL FREE ACCESS
    The successful implementation of double patterning lithography in semiconductor manufacturing is dependent on the progress of several key items, including good control of critical dimension (CD) uniformity and overlay. Here we report a pass-to-pass post-etch CD (ECD) difference in Litho-Etch-Litho- Etch (LELE) process. The CD difference mainly came from the organic planarization layer (OPL) thickness delta between 1st pass Litho-Etch (LE) process and 2nd pass LE process. The pass-to-pass CD difference can be reduced by adjusting the OPL thickness.
    Download PDF (2841K)
  • Takuya Hagiwara, Kentaro Saito, Hiraku Chakihara, Shuji Matsuo, Masao ...
    2015 Volume 28 Issue 1 Pages 17-24
    Published: June 23, 2015
    Released on J-STAGE: July 28, 2015
    JOURNAL FREE ACCESS
    When resist patterns are formed directly on silicon nitride (SiN), it is common for cone defects to arise after the SiN dry-etching process. When subsequent layers are deposited, the layers pile up around a cone defect like a side wall, causing it to expand. These expanded cone defects can cause short circuits in the pattern. To improve yields, therefore, it is vital to understand the factors that lead to the occurrence of cone defects and those which suppress their generation. As a result of our investigations, we were able to determine that these cone defects are caused by metal impurities (especially, iron atoms) in the developer. These become trapped in the resist residue. The complex that is formed by the polymer in the resist residue and the metal then acts as an etching mask. We also determined that trap sites, where the metal can settle, exist on the SiN surface and the metal atoms that thus accumulate on the SiN surface act as an etching mask. Given these results, the number of cone defects can be reduced by suppressing this resist residue generation through the use of high-contrast resists and reducing the metallic impurities in both the developer and chemicals discharged onto SiN.
    Download PDF (427K)
  • Xavier Allonas, Ahmad Ibrahim, Vincent Charlot, Matthieu Retailleau, F ...
    2015 Volume 28 Issue 1 Pages 25-29
    Published: June 23, 2015
    Released on J-STAGE: July 28, 2015
    JOURNAL FREE ACCESS
    The production of thick materials by photopolymerization, such as those required for fiber-reinforced polymer is still rather scarce today. This fact is mainly attributed to the low penetration depth of the light into the composites. In this paper, it will be first shown that glass fiber composites can be formed easily by using a suitable photoinitiating system. Secondly, attention will be paid on carbon fiber composite where a dual-cure initiating system based on both a photoinitiator and a thermal initiating system is able to produce polymer part of few millimeters in a relatively short time scale.
    Download PDF (317K)
  • Suqing Shi, Feyza Karasu, Caroline Rocco, Xavier Allonas, Célin ...
    2015 Volume 28 Issue 1 Pages 31-35
    Published: June 23, 2015
    Released on J-STAGE: July 28, 2015
    JOURNAL FREE ACCESS
    LED curing is becoming attractive in various applications due to specific characteristics such as long life-time, low heat generation and more energy saving. It requires the selection of suitable photoinitiating systems that exhibit long range absorption properties. For radical process, there are various commercially available photoinitiators showing a red-shift absorption. In contrast, most cationic photoinitiators have a short light absorption below 300 nm and display a limited overlap with the newly emerging visible LED light sources. Therefore, the development of photoinitiating systems with extended absorption in UVA (λ > 380nm) is of paramount importance. Free radical promoted cationic photopolymerization (FRPCP) is considered as an elegant and fairly flexible type of cationic polymerization technique. This approach is explored for the curing of interpenetrating acrylate/epoxide polymer networks.
    Download PDF (397K)
  • Atsushi Goto
    2015 Volume 28 Issue 1 Pages 37-42
    Published: June 23, 2015
    Released on J-STAGE: July 28, 2015
    JOURNAL FREE ACCESS
    Photo-controlled organocatalyzed living radical polymerization was developed. The polymerization was induced and controlled at desired wavelengths over a wide range of wavelengths (350-750 nm) by exploiting suitable catalysts. This polymerization was finely responsive to the irradiation power and wavelength. The polymer molecular weight and its distribution (Mw/Mn = 1.1-1.4) were well controlled for methacrylate monomers. The monomer scope encompassed various functional methacrylates, and their block copolymers were obtained. Applicability to a wide range of polymer designs is an advantage of this polymerization.
    Download PDF (921K)
  • Ken’ichi Aoki, Masatsugu Yamada, Ryota Imanishi, Kunihiro Ichimura
    2015 Volume 28 Issue 1 Pages 43-44
    Published: June 23, 2015
    Released on J-STAGE: July 28, 2015
    JOURNAL FREE ACCESS
    The results serves actual benefit that the viscosities of the resins can be easily adjustable by the adequate choice of the mixing ratios. The critical area to cause drastic change in photosensitivity is in a range of Xw from 0.35 to 0.60, which corresponds to 0.77 - 0.90 of the molar fraction of AL4 (Xm), or 0.45 - 0.69 of the molar fraction of allyl groups of AL4 (Xm’). It should be worth noting that in the shaded area, almost equal amounts of allyl groups are provided from both of the ene compounds of the AL16 (dendrimer) and the AL4 (reactive diluent). Detailed mechanism is under investigation.
    Download PDF (215K)
  • Kanji Suyama, Hideki Tachi
    2015 Volume 28 Issue 1 Pages 45-48
    Published: June 23, 2015
    Released on J-STAGE: July 28, 2015
    JOURNAL FREE ACCESS
    The decrease in peel strength of PSAs composed of photolabile crosslinkers were confirmed on irradiation with a medium pressure Hg lamp. Also, the sensitization of the photolysis of O-acyloxime moiety using iPTX at 365 nm was demonstrated. These results suggest that PSAs proposed here are potential candidates that can be dobonbed photochemically.
    Download PDF (278K)
  • Emiko Oota, Shota Okade, Yukiko Muramatsu, Ken Sawabe, Yasuharu Muraka ...
    2015 Volume 28 Issue 1 Pages 49-54
    Published: June 23, 2015
    Released on J-STAGE: July 28, 2015
    JOURNAL FREE ACCESS
    The effect of the post exposure bake (PEB) on the high resolution of dry film resist for semiconductor packaging was investigated using Hitachi Chemical’s dry film resist. The effective PEB was found to be the baking within 30 min after exposure at a temperature of 40-60 °C for 1-5 min. The longer holding time after PEB lowered the conversion of the upper layer of the resist. The oxygen passed through PET film was thought to deactivate the polymerization terminal radical during the holding time. The margin for the process was expanded by using PVA layer of low oxygen transmission rate. It was also found that the polymerization terminal radical reacted with the unreacted monomer during PEB process.
    Download PDF (1718K)
  • Sanae Wada, Yoshitaka Yagi, Kasumi Hase, Kota Nakajima, Shigeru Takaha ...
    2015 Volume 28 Issue 1 Pages 55-59
    Published: June 23, 2015
    Released on J-STAGE: July 28, 2015
    JOURNAL FREE ACCESS
    Oxime esters have been known to be excellent photo-acid generators (PAGs). However, the behaviors of alicyclic oxime esters to act as PAG have yet to become clear. Some oxime esters with adamantly groups were prepared, and their spectra and thermal stabilities were measured. The quantum yields of acid generation (φacid) in acetonitrile were measured. These PAGs were then evaluated for lithography materials with a base polymer. They have a good transparency in DUV areas. These physical properties and the performance of PAG depended on the acid precursor group and the substitution group at the C atom of C=N-O part in the oxime esters.
    Download PDF (345K)
  • Haruyuki Okamura, Keiko Muramatsu, Hideyuki Nakajiri, Masamitsu Shirai ...
    2015 Volume 28 Issue 1 Pages 61-66
    Published: June 23, 2015
    Released on J-STAGE: July 28, 2015
    JOURNAL FREE ACCESS
    Photoresists for high resolution screen printing plates were devised using thiol-ene reaction. New resist formulation contains a base polymer which contains acid-labile tetrahydropyranyl-protected carboxylic acid, hydroxyl and methacrylic functions. As crosslinkers, multifunctional acrylates and multifunctional thiols were employed. Photoacid generators were used for pattern formation. A 6-μm feature size of resist on a SUS screen plate was obtained on irradiation at 365 nm and followed by development. Post-exposure curing using 254 nm light with photoradical generators improved the mechanical characteristics of the resist patterns. Addition of a multifunctional thiol compound was effective to improve flexibility of the cured resist and resist sensitivity.
    Download PDF (2841K)
  • Chae Bin Kim, Sunshine X. Zhou, Drew L. Heilman, Dustin W. Janes, Chri ...
    2015 Volume 28 Issue 1 Pages 67-71
    Published: June 23, 2015
    Released on J-STAGE: July 28, 2015
    JOURNAL FREE ACCESS
    Low molecular weight photopolymers are candidate materials for resists in Extreme Ultraviolet Lithography. The patterned chemical transformations used in photolithography generate a surface energy pattern that can induce flow in low molecular weight photopolymers via the Marangoni effect, due to their intrinsically higher translational mobility relative to larger polymers. To demonstrate this outcome, a low molecular weight photopolymer bearing acid-labile protecting groups was co-cast with a photo-acid generator and exposed through a contact mask. During a post-exposure bake above the film’s glass transition temperature, unexposed polymer flowed into deprotected regions since the deprotected polymers possess relatively higher surface tension compared to the unreacted polymers. After cooling, the film exhibited thickness variations of up to 90 nm, and the topographic profile reflected the mask pattern. An appreciation of this transport mechanism could be useful to those integrating low molecular weight photopolymers into lithographic process flows.
    Download PDF (562K)
  • Masao Tomikawa, Ryoji Okuda, Hiroyuki Ohnishi
    2015 Volume 28 Issue 1 Pages 73-77
    Published: June 23, 2015
    Released on J-STAGE: July 28, 2015
    JOURNAL FREE ACCESS
    Recently packaging technologies progress so much for high density, low profile and small foot print. For those applications, Photo sensitive polyimide (PSPI) applied as a re-distribution layer for the package. The PSPI was required to have good adhesion to wiring metal with low temperature curable nature. We developed the PSPIs with low temperature curability for emerging packaging applications.
    Download PDF (891K)
  • Eiki Koshinuma, Hirotaka Maenosono, Daisuke Endo, Yasuhiro Nishioka
    2015 Volume 28 Issue 1 Pages 79-83
    Published: June 23, 2015
    Released on J-STAGE: July 28, 2015
    JOURNAL FREE ACCESS
    Regenerative medical research has attracted significant attention in the medical field in recent years. Here, we fabricated micro trench structures with varying widths of 15 and 150 μm and depths of 30 and 60 μm using the nanoimprint technique on a 100 μm thick polydimethylsiloxane culture sheet used as a biocompatible polymer culture sheet. Rat neurons were observed to grow after 3 days selectively within those micro trenches with widths larger than 15 μm, and they were coated with polylysine. Accumulation of glial cells was also observed in the trenches.
    Download PDF (861K)
  • Kazuya Okada, Shiina Toko
    2015 Volume 28 Issue 1 Pages 85-92
    Published: June 23, 2015
    Released on J-STAGE: July 28, 2015
    JOURNAL FREE ACCESS
    The increasing demands for small, thin, and high performance electronic equipment raise the specification on higher density pattern, narrow pad pitch and fine line and space of the semiconductor package substrates. Accordingly the outermost layers of solder resists are needed to have 1) excellent Highly Accelerated Stress Test(HAST) resistance performance with thinner film, 2) high crack resistance at the Thermal Shock Test(TST), and 3) high resolution for fine pattern. For HAST resistance, we reduced chlorine ion and improve anti-hydrolysis properties in solder resist. This new technology significantly improves ion migration resistance resulting in a higher HAST reliability even with a thinner solder resist film. For crack resistance, a simulation has been designed to analyze the mechanism of cracking as well as a target of physical properties of the solder resist possessing superior crack resistance. High crack resistance was successfully achieved at the TST using the solder resist with elastomer forming nano-phased separation and filler surface treatment. For high resolution, we optimized exposure wavelength and the refractive index of the material and successfully demonstrated good resolution in accordance with the design size.
    Download PDF (1743K)
  • Kenichi Iwashita, Tetsuya Katoh, Akihiro Nakamura, Yasuharu Murakami, ...
    2015 Volume 28 Issue 1 Pages 93-97
    Published: June 23, 2015
    Released on J-STAGE: July 28, 2015
    JOURNAL FREE ACCESS
    As the demand for advanced packaging is growing, the organic multi-chip package, a combination of organic interposer and organic substrate have attracted increasing attention in realizing further advancements of electronic devices with higher densities and more functions. The semi-additive process (SAP) method has been developed for Cu wiring and blind via in packaging substrate. To make the fine Cu wiring below 5 μm, the sputtering Ti/Cu has been studied. While opening a blind via below 50 μm in diameter, the laser method has problem. Photosensitive organic materials having great mechanical strength and heat resistance were mainly used as protection and insulation layers of very large scale integrated circuit, because they simplify the via formation processing and did not generate the residues during process. Specifically, we have newly developed the film type photosensitive insulation materials. Our photosensitive insulation films (PIFs) showed high resolution, high adhesion strength with Ti/Cu seed layer and suitability to SAP with the sputtering process.
    Download PDF (380K)
  • Akira Watanabe, Gang Qin, Jinguang Cai
    2015 Volume 28 Issue 1 Pages 99-102
    Published: June 23, 2015
    Released on J-STAGE: July 28, 2015
    JOURNAL FREE ACCESS
    LightScribe technology has a great possibility to realize an on-demand production by a potable and personal fabrication system. The development of an optimized LightScribe system toward flexible and printed electronics is expected because a consumer-grade one has the limitation in controlling the laser processing conditions and the application.
    Download PDF (701K)
  • Eishi Shiobara, Isamu Takagi, Yukiko Kikuchi, Takeshi Sasami, Shinya M ...
    2015 Volume 28 Issue 1 Pages 103-110
    Published: June 23, 2015
    Released on J-STAGE: July 28, 2015
    JOURNAL FREE ACCESS
    The suppression of extreme ultraviolet (EUV) resist outgassing is one of the challenges in high-volume manufacturing with EUV lithography (EUVL), because it contributes to the contamination of the EUV scanner mirror optics, resulting in reflectivity loss. The outgas qualification using a witness sample (WS) has been developed into the general method for clarifying commercially available, chemically amplified resists. In our recent study, a resist outgas model is proposed and tested to investigate the contamination thickness’ dependency on exposure dose. The model successfully explains the experimental outgas phenomenon. It is estimated that increasing exposure dose, in resists with low activation energies (Ea) in deprotection reactions, results in extreme increase in contamination thickness. The detail is explained in this paper.
    Download PDF (589K)
  • Xiaowei Wang, Georg Pawlowski, Tetsuo Okayasu, Masato Suzuki, Yusuke H ...
    2015 Volume 28 Issue 1 Pages 111-117
    Published: June 23, 2015
    Released on J-STAGE: July 28, 2015
    JOURNAL FREE ACCESS
    The contamination of the EUV optics by resist fragments generated during exposure under high volume manufacturing conditions is a serious threat to the lifetime of the EUV exposure tools. The photon energy of EUV sources largely exceeds the binding energy of all organic molecules and it is known from laser ablation experiments that direct laser induced cleavage of sigma bonds occurs. Even though the fragments formed during the irradiation process are produced in the mid ppb level only, they can act as effective laser deposition precursors and contaminate the tool chamber, the mirrors and the mask.
    In this paper, we describe an effective method to eliminate the contamination of EUV optics through the application of a novel outgassing barrier layer (OBL-A) on a conventional ArF resist film, which performs well upon EUV exposure. The outgassing fragments from the resist’s methacrylate resist polymer, its protection groups, the photo acid generator (PAG) and quencher were determined by QMS (quadruple mass spectrometer) with and without application of the OBL-A top barrier layer on the resist film. Results clearly indicate that the outgassing fragments were suppressed effectively which was also verified by the witness plate method. No deposition was observed on the witness mirror using an ellipsometer monitor in real time when applying a top barrier layer on the resist film.
    The application of the OBL-A top layer provides an effective means to eliminate the limitations on resist material design as conventional polymers, non-bound PAGs and standard quenchers can be selected for resist design when this novel top barrier layer is applied on the resist film.
    Download PDF (746K)
  • Masaki Mitsuyasu, Hiroki Yamamoto, Takahiro Kozawa
    2015 Volume 28 Issue 1 Pages 119-124
    Published: June 23, 2015
    Released on J-STAGE: July 28, 2015
    JOURNAL FREE ACCESS
    It is challenging to implement extreme ultraviolet (EUV) lithography in mass production because of strict requirement for EUV resist materials. Under this circumstances, it is important in EUV resist design to clarify the dissolution behavior of the resist film in the alkaline developer. In particular, the dissolution in exposed areas of resist films is one of the most critical processes. However, the details of the dissolution process of EUV resists have not yet been clarified. In this study, the dissolution of poly(4-hydroxystyrene) (PHS) polymer, which is a model polymer of EUV chemically amplified resists, with and without additives such as acid generators and amines was studied by a quartz crystal microbalance (QCM) method. The effects of the acid generator concentration and the addition of amines on the dissolution behavior of PHS films were investigated by varying the exposure dose with the aim of obtaining a systematic understanding of the effect of each resist component on dissolution. Differences in the experimentally observed dissolution behaviors were associated with the effect of each resist component on dissolution. The decrease in the development rate caused by the addition of an acid generator suggests that the solubility in the developer depends on the concentration of the remaining acid generator and its structure. In particular, the electrostatic interaction between the acid generator and the hydroxyl groups in PHS has a strong effect on the solubility in tetramethylammonium hydroxide (TMAH) developer. It is important in EUV resist design to take into account the concentration of undecomposed acid generator.
    Download PDF (201K)
  • Hiroto Kudo, Shuhei Matsubara, Hiroki Yamamoto, Takahiro Kozawa
    2015 Volume 28 Issue 1 Pages 125-129
    Published: June 23, 2015
    Released on J-STAGE: July 28, 2015
    JOURNAL FREE ACCESS
    The synthesized noria-AD offered 40 nm resolution resist pattern with LWR = 9.5 nm in the case of EB exposure tool and a clear 26 nm resolution pattern with LWR = 8.3 nm by means of EUV exposure tool. These results indicate that the present poly(THPE-co-BVOC) would have higher potential to offer higher resolution pattern using EUV lithography system.
    Download PDF (788K)
feedback
Top