Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
Volume 29, Issue 2
Displaying 1-36 of 36 articles from this issue
  • Toshiki Ito, Keiji Emoto, Tsuneo Takashima, Keita Sakai, Weijun Liu, J ...
    2016 Volume 29 Issue 2 Pages 159-168
    Published: June 21, 2016
    Released on J-STAGE: August 07, 2016
    JOURNAL FREE ACCESS
    Nanoimprint lithography (NIL) has been shown to be an effective technique for replication of nano-scale features. Jet and Flash Imprint Lithography (J-FIL) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is cross-linked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. Criteria specific to any lithographic process for the semiconductor industry include overlay, throughput and defectivity. J-FIL technology requires a photo-curable chemical composition as a dedicated resist material which satisfies all the requirements of J-FIL technology. This includes jetting performance, resist spread and relief image filling, UV sensitivity, separation and post-process durability. Because the J-FIL resist material interacts much more strongly with the equipment via the mask than other conventional photo-resist materials, it plays a significant role in the overall J-FIL process and impacts criteria such as overlay, defectivity and throughput. The purpose of this paper is to describe the technology advancements made in overlay, throughput and defectivity and to introduce the FPA-1200NZ2C cluster system designed for high volume manufacturing of semiconductor devices. Included in the discussion are some of the key imprint resist characteristics that impact J-FIL performance.
    Download PDF (4644K)
  • Kazuyuki Usuki, Yuichirou Goto
    2016 Volume 29 Issue 2 Pages 169-177
    Published: June 21, 2016
    Released on J-STAGE: August 07, 2016
    JOURNAL FREE ACCESS
    Release interface between UV-NIL resists and quartz mold was investigated focusing on surface energy and elastic modulus of resist film in order to improve release property of UV-NIL process. The resist with fluorine monomer and with non-reactive fluorine anti-sticking agent were compared by separation force measurements and surface analyses of release interface. The results indicated that resist design has the capability to both reduce the separation force and maintain a clear mold surface. The mold release agent decomposed with increasing number of imprint shots, but the low release force resist with non-reactive anti-stacking agent was able to control the degradation of mold release agent and thus improve release property endurance. In addition, resists with various acrylate monomer structures and formulations were studied in terms of elastic modulus of UV cured resist film. It is found that the separation force can also be controlled by resist elastic modulus without depending on the effect of anti-sticking agent.
    Download PDF (1890K)
  • Yasuo Suto, Yukihiro Miyazawa, Takahide Mizawa
    2016 Volume 29 Issue 2 Pages 179-180
    Published: June 21, 2016
    Released on J-STAGE: August 07, 2016
    JOURNAL FREE ACCESS
    We report enlarged nanoimprinting mold called tiling mold which are made of nickel or film. There are three types of tiling mold based on properties of stitched area. Each type of tiling mold has its own specific property and they will be used properly based on the demand.
    Download PDF (1946K)
  • Kenta Suzuki, Sung-Won Youn, Hiroshi Hiroshima
    2016 Volume 29 Issue 2 Pages 181-187
    Published: June 21, 2016
    Released on J-STAGE: August 07, 2016
    JOURNAL FREE ACCESS
    UV nanoimprint lithography (UV-NIL) in condensable gases such as pentafluoropropane (PFP) has been recognized as one of the most promising methods to realize bubble-defect-free UV-NIL with low demolding forces when compared with that in ambient air and He. We have recently studied two condensable gases [trans-1-chloro-3,3,3-trifluoropropene (CTFP) and trans-1,3,3,3-tetrafluoropropene (TFP)] with different vapor pressures and low global warming potentials (GWP) of <6. However, the resulting lithographic pattern quality in UV-NIL remains unclear using CTFP and TFP. In this work, the surface roughness of patterns fabricated using UV-NIL in the CTFP and TFP gases was investigated. In UV-NIL in a CTFP/TFP atmosphere, with an increase in the TFP fraction, the surface roughness decreased. It was also found that the linewidth of tens of nanometer size patterns can be linearly controlled by adjusting the CTFP/TFP fraction; for 70-nm-wide line patterns, the linewidth adjusting ratio was approximately 14%.
    Download PDF (2255K)
  • Shinya Nakajima, Satoshi Takei, Ziqi Zhou, Hirotaka Maki, Kigen Sugaha ...
    2016 Volume 29 Issue 2 Pages 189-193
    Published: June 21, 2016
    Released on J-STAGE: August 07, 2016
    JOURNAL FREE ACCESS
    A template using biomass materials in nanoimprint lithography has gas permeability that is expected to reduce defects from air trapping and template damage. The dependences of indentation elastic modulus, light transmission rate and oxygen gas permeability coefficient on baking temperature of the biomass template were evaluated. It was found that indentation elastic modulus increased but light transmission rate and oxygen gas permeability decreased with increasing the baking temperature. 5µm and 1µm line regularly-nanostructures of master template were successfully transferred to biomass template. The gas permeable biomass template having nanostructure is expected to extend to future research, such as templates for imprinting volatile materials and solvent including materials.
    Download PDF (899K)
  • Qing Wang, Lijun Ma, Rui Zhang, Tong Zheng, Xu Zheng
    2016 Volume 29 Issue 2 Pages 195-200
    Published: June 21, 2016
    Released on J-STAGE: August 07, 2016
    JOURNAL FREE ACCESS
    Anti-sticking treatment of the mold is crucial to improve pattern transferring fidelity in nano-imprint lithography. It is an important issue to investigate the effects of the antisticking layer on pattern transferring while considering the actual effectiveness of the antisticking layer after mold is used repeatedly. In this paper, contact separating between mold and polymer under different adhesion force conditions was investigated. According to displacement nephograms of polymer in different demolding stages and the relationship curves between demolding force and demolding displacement, the development of polymer deformation was compared and analyzed. The effect of increasing adhesion force on demolding force and pattern maximum stretch was studied. It is shown that complete failure of antisticking layer at the top would increase the second peak value of demolding force and stretch deformation of polymer pattern, accompanied by severe necking phenomenon. After complete separation of the lateral contact at the top, imprinted polymer patterns have obvious deformation. It is found that the second peak value of demolding force and polymer pattern maximum stretch have linear relationship with decreasing antisticking layer effectiveness at the top.
    Download PDF (1028K)
  • Takuya Uehara, Shoichi Kubo, Nobuya Hiroshiba, Masaru Nakagawa
    2016 Volume 29 Issue 2 Pages 201-208
    Published: June 21, 2016
    Released on J-STAGE: August 07, 2016
    JOURNAL FREE ACCESS
    We designed and set up an apparatus of oxygen reactive ion etching (O2 RIE) with parallel electrode configuration to remove a residual layer located on concave parts of ultraviolet nanoimprinted resist patterns and to maintain the pattern linewidth after dry etching. Imprint resist patterns with 45 nm line-and-space on a silicon wafer were fabricated with a bisphenol A-based UV-curable resin (NL-KK1) and a fluorinated replica mold under an easily condensable gas atmosphere. Cross-sectional field-emission scanning electron microscope observations revealed that the etching parameters of O2 mass flow rate, O2 pressure, and radio frequency (RF) bias power changed the resist pattern shapes. Steep resist patterns of the hardly changed linewidth could be left without the residual layer on the silicon substrates by tuning the parameters which caused anisotropic O2 RIE. The imprint resist mask was applied for a subsequent dry etching of underneath silicon without any metal hard mask layers, and 45 nm line-and-space silicon patterns could be obtained.
    Download PDF (839K)
  • Shigeru Kubota, Kensaku Kanomata, Bashir Ahmmad, Jun Mizuno, Fumihiko ...
    2016 Volume 29 Issue 2 Pages 209-214
    Published: June 21, 2016
    Released on J-STAGE: August 07, 2016
    JOURNAL FREE ACCESS
    To improve the performance of organic photovoltaics (OPVs), it is useful to trap light by using the antireflection nanotexture such as moth eye structure. The finite-difference time-domain (FDTD) method is frequently used to analyze the optical properties of nanotexture. However, in the case that FDTD is applied to OPVs, the existence of a glass substrate generates a strong oscillation in optical response, which does not exist in the actual device. To remove such oscillatory components and accurately simulate optical response, we study an FDTD-based computational algorithm, which we call the envelope method. We compare this method with other possible methods, and demonstrate that the envelope algorithm is more accurate for estimating optical response and more robust against parameter variations than the other ones. We also apply this method to analyze the changes in the OPV performance associated with the changes in the properties of moth eye coating.
    Download PDF (535K)
  • Hiroaki Kawata, Masaaki Yasuda, Yoshihiko Hirai
    2016 Volume 29 Issue 2 Pages 215-219
    Published: June 21, 2016
    Released on J-STAGE: August 07, 2016
    JOURNAL FREE ACCESS
    The impacts of substrate deformation on the resist filling characteristics were investigated both by experiment and by simulation for thermal imprint process. A Si mold with line and space pattern of 2 µm half pitch was used. The pattern area was surrounded by the flat area and its surface is as high as the top of the line pattern, that is, the concave mold is used. The mold pattern was transferred to a poly(methyl methacrylate) (PMMA) film on various thick Si substrates by the thermal imprint process. When the thin substrate of 200 µm thickness was used, no filling defects could be found. On the other hand, when the thick substrate of 1000 µm thickness was used, a large amount of filling defects was observed. It was clear that the filling defect could be suppressed by the substrate deformation. The substrate deformation was simulated by use of a simplified model. The substrate position, z0, which is the boundary between the substrate and the PMMA film, was calculated. The formation of the filling defects could be explained by the substrate position, z0, quite well.
    Download PDF (947K)
  • Jun Taniguchi, Takahiro Tsuji
    2016 Volume 29 Issue 2 Pages 221-224
    Published: June 21, 2016
    Released on J-STAGE: August 07, 2016
    JOURNAL FREE ACCESS
    Nanoimprint lithography (NIL) can be used as a tool for three-dimensional nanoscale fabrication. In particular, complex metal pattern structures in polymer material are demanded as plasmonic effect devices and metamaterials. To fabricate of metallic color filter, we used silver ink and liquid-transfer imprint lithography (LTIL) techniques. Metallic color filter was composed of stacking of nanoscale silver disc patterns and polymer layers, thus, controlling of polymer layer thickness is necessary. To control of thickness of polymer layer, we used spin-coating of UV-curable polymer and LTIL process. To obtain silver disc pattern, we used silver ink, Ultraviolet NIL technique and LTIL process. As a result, ten stacking layers with 1000 nm layer thickness was obtained and red color was observed. The obtained colors are generated from plasmon phenomenon. This process is very useful to make stacking structure without vacuum environment.
    Download PDF (859K)
  • Tatsuya Iida, Masaaki Yasuda, Hiroaki Kawata, Yoshihiko Hirai
    2016 Volume 29 Issue 2 Pages 225-230
    Published: June 21, 2016
    Released on J-STAGE: August 07, 2016
    JOURNAL FREE ACCESS
    Induced stress and strains in direct nanoimprint process are investigated by computational works. Impact of the mold pressing velocity, the polymer thickness, and the side wall angle of the mold are studied for the model polymer and PMMA. The shear stress distribution in pattern cavity is strongly affected by the polymer thickness and the side wall angle of the mold. By inclination of the side wall, the shear stress is spread into pattern cavity in triangular shaped cavity due to polymer flow along the side wall, which may induce molecular ordering. On the other hand, stress and strain distributions are hardly affected by the mold pressing velocity under investigated conditions.
    Download PDF (2146K)
  • Atsushi Morikawa, Kazunori Suzuki, Kenji Asano
    2016 Volume 29 Issue 2 Pages 231-235
    Published: June 21, 2016
    Released on J-STAGE: August 07, 2016
    JOURNAL FREE ACCESS
    Poly(amide-imide)-silica hybrid films were prepared using the sol-gel process of tetraethoxysilane (TEOS). A dianhydride-terminated poly(amide-imide) oligomer was prepared by the reaction of 4,4'-diphenylmethanediisocyanate (MDI) and trimellitic andydride (TMA) (MDI:TMA = 2:3 equivalents) in N-methylpyrrolidone (NMP) at 120 oC. One equivalent of 4,4'-diaminodiphenylmethane (DADPM) was added to the oligomer solution at room temperature to form the poly(amide-imide) precursor having carboxylic acid groups. The hydrolysis-polycondensation of TEOS could be carried out in the solution, and the poly(amide-imide)-silica hybrid film was obtained by casting the homogeneous silica-containing solution onto a glass plate, followed by heating at 300 oC. The silica content was 33 wt%, and silica particles with diameter of about 2 µm were observed in the hybrid films by scanning electron microscopy (SEM).
    Download PDF (673K)
  • Toshihiko Matsumoto, Hiroki Ozawa, Eriko Ishiguro, Shinichi Komatsu
    2016 Volume 29 Issue 2 Pages 237-242
    Published: June 21, 2016
    Released on J-STAGE: August 07, 2016
    JOURNAL FREE ACCESS
    An alicyclic tetracrboxylic dianhydride having cyclopentanone bis-spironorbornane structure (CpODA) was polycondensated with aromatic diamines in the various kinds of solvents. The poly(amic acid)s were imidized by a chemical and a thermal methods. In chemical imidization of poly(amic acid) derived from CpODA and 2,2'-dimethyl-1,1'-biphenyl-4,4'-diamine in N,N-dimethylacetamide and γ-butyrolactone the polyimides precipitated as the reaction proceeded. The precipitates, however, were soluble in hologenated solvents such as CHCl3. The solution processable polyimides formed colorless and flexible films after cast then dried. All the polyimide films possessed excellent thermal stability and had glass transition temperatures over 322 oC. The coefficient of thermal expansion (CTE) values of chemically imidized polyimide films were lower than those of thermally imidized ones and there was only a small difference in CTE among polyimide films prepared in different polymerization solvents. The polyimide films exhibited λ cut-off shorter than 298 nm, and the values of transparency in the visible region were over 84% and highly colorless.
    Download PDF (775K)
  • Yusuke Kanetaka, Shinichi Yamazaki, Kunio Kimura
    2016 Volume 29 Issue 2 Pages 243-246
    Published: June 21, 2016
    Released on J-STAGE: August 07, 2016
    JOURNAL FREE ACCESS
    The Friedel-Crafts polymerization of 2,5-thiophenedicarbonyl dichloride and diphenyl ether in 1,2-dichloroethane afforded only low molecular weight of poly(ether ketone) containing thiophene-ring. In contrast to this, the polymerization in 1-butyl-3-methylimidazolium chloride-aluminum chloride mixture gave high molecular weight polymers. The polymerizations in ionic liquids were a new approach to expand possibility of polymer synthesis such as preparation of polymer containing heterocyclic rings. The obtained poly(ether ketone) exhibited good thermal stability and chemical resistance comparable to common poly(ether ketone)s.
    Download PDF (1179K)
  • Ling Gao, Koei Azuma, Yuta Kushima, Kenta Okuhara, Alvin Chandra, Ter ...
    2016 Volume 29 Issue 2 Pages 247-250
    Published: June 21, 2016
    Released on J-STAGE: August 07, 2016
    JOURNAL FREE ACCESS
    We successfully obtained well-ordered spherical nanostructures in spin-casted films prepared by combining wholly aromatic condensation polymers and olefin type BCPs. No other structures were obtained despite increasing the ratio of PAA to BCP. These results indicate that the micelle structures formed in solution were deposited onto the substrates to form the spherical nanostructures selectively. By increasing the concentrations of the solution used and adding methanesulfonic acid to catalyze the cross-linking reaction of the resol, it is possible to decrease the areal density of defects, such as terracing, and the surface roughness on the thin film. However, as the spherical structure had partially collapsed during imidization on the thin film as the temperature increased, further studies are required to obtain the optimal experimental conditions to obtain a stiff and well-ordered porous polyimide thin film.
    Download PDF (6434K)
  • Tomohiro Okada, Ryohei Ishige, Shinji Ando
    2016 Volume 29 Issue 2 Pages 251-254
    Published: June 21, 2016
    Released on J-STAGE: August 07, 2016
    JOURNAL FREE ACCESS
    A facile and non-distractive method for estimating thermal emissivity of solid polymers based on ATR-FT-IR method was newly developed. This method is applicable to thick and non-transparent samples without using blackbody furnace. The thermal emissivity estimated by this method is consistent with the reference values obtained using blackbody furnace. The ATR-IR method enables to estimate thermal emissivity of polymer materials having arbitrary shapes not only bulk and films but also forms and fabrics.
    Download PDF (1568K)
  • Yuta Nabae, Shinsuke Nagata
    2016 Volume 29 Issue 2 Pages 255-258
    Published: June 21, 2016
    Released on J-STAGE: August 07, 2016
    JOURNAL FREE ACCESS
    Copolymerization of PMDA, TAPB and Fe(amph)3(ClO4)2 has been studied as a synthesis method to prepare Fe containing polyimide nano-particles. The obtained polymer can be converted into a NPM cathode catalyst by carbonization. The resulting carbon-based catalyst exhibits as good ORR performance as a state-of-the-art NPM catalyst. The current method will be suitable for a scaled up process since the incorporation of Fe species into the polymer chains will contribute to uniform dispersion of Fe source.
    Download PDF (969K)
  • Gang Wang, Kota Yamazaki, Manabu Tanaka, Hiroyoshi Kawakami
    2016 Volume 29 Issue 2 Pages 259-263
    Published: June 21, 2016
    Released on J-STAGE: August 07, 2016
    JOURNAL FREE ACCESS
    A series of sulfonated polyimides (SPIs) composed of block- or random-graft structures with different block ratios were synthesized for the application to polymer electrolyte membrane fuel cells. Four types of SPIs were successfully obtained with similar ion exchange capacity (IEC) values to discuss the influence of block-graft structures and block ratios on polymer electrolyte characteristics including proton conductivity, water uptake, and gas barrier property. Among the four SPI membranes, the SPI bearing high hydrophilic block ratio and low grafting hydrophilic side-chain ratio showed highest proton conductivity and best gas barrier property. This study revealed that the block ratio of main-chain has a significant role on the characteristics of the SPI membranes. These novel SPI membranes, which showed better proton/oxygen selectivity than a conventional Nafion membrane, have potential applications for future fuel cells.
    Download PDF (579K)
  • Yusuke Tsuda, Ryosuke Shiki
    2016 Volume 29 Issue 2 Pages 265-271
    Published: June 21, 2016
    Released on J-STAGE: August 07, 2016
    JOURNAL FREE ACCESS
    Novel diamine monomers having tert-butoxycarbonyl (t-Boc) group were synthesized from the corresponding dinitro compounds by reductive reaction. The novel polyimides and copolyimides were synthesized from 3,4'-oxydiphthalic anhydride (3,4'-ODPA) as a tetracarboxylic dianhydride, above functional diamines having t-Boc group, and 3,5-diamino-N-tetradecylbenzamide (ADBA-14) as a diamine co-monomer by one-pot polymerization system using pyridine as a solvent. The thin films of obtained polyimides were irradiated by UV light (λmax; 365 nm), and the contact angles for the water decreased from near 90o (hydrophobicity) to minimum 60o (hydrophilicity) in proportion to irradiated UV light energy. The addition of photoacid generator (PAG) accelerated these changes of wettability. From the result of surface analyses such as ATR and XPS, it is recognized that the hydrophobic groups on the polyimide surface decrease and the hydrophilic groups such as hydroxyl groups and carboxyl groups generate on their surface. Furthermore, it is considered that the cleavage of t-Boc group significantly affect the changes of wettability by UV light irradiation based on the various analyses.
    Download PDF (1084K)
  • Toshiyuki Oyama, Aya Kasahara, Megumi Yasuda, Akio Takahashi
    2016 Volume 29 Issue 2 Pages 273-276
    Published: June 21, 2016
    Released on J-STAGE: August 07, 2016
    JOURNAL FREE ACCESS
    RDP using mixtures of TMAH / water / NMP / methanol as developers was applied to the commercially available polyimide-silicone copolymer, and when the amount of NMP in the developer was small, pattern having sufficient concavo- convex shape was not obtained. On the other hand, the use of the developer containing a large amount of NMP resulted in the formation of clear negative-tone patterns. The pattern-forming mechanism is considered to be the same as that proposed for negative-tone pattern formation of polyetherimide by RDP, that is, retardation of the reaction between imide groups in the polymer and OH- in the developer induced by the photo-generated insoluble PMI dimer and the reaction between OH- and the photo-generated acid from DNQ.
    Download PDF (1157K)
  • Yu Shoji, Yutaro Koyama, Yuki Masuda, Keika Hashimoto, Kimio Isobe, Ry ...
    2016 Volume 29 Issue 2 Pages 277-282
    Published: June 21, 2016
    Released on J-STAGE: August 07, 2016
    JOURNAL FREE ACCESS
    Recently, progress of semiconductor packaging is drastic and multi-pinned devices such as Fan-out Wafer Level Packages (FOWLPs) attract much attention. For those applications, photosensitive polyimides (PSPIs) are applied as re-distribution layers (RDLs) and they are required to have high level of properties such as adhesion to metal and reliability. We developed novel low-temperature curable positive-tone photosensitive polyimides (posi-PSPIs) with high elongation, strong adhesion to the copper RDLs, and high chemical resistance for establishing the multi RDLs by improving the base-polyimides and incorporating polyimides with cross-linkers and adhesion promoters.
    Download PDF (3219K)
  • Yusuke Tsuda, Daichi Sakata
    2016 Volume 29 Issue 2 Pages 283-288
    Published: June 21, 2016
    Released on J-STAGE: August 07, 2016
    JOURNAL FREE ACCESS
    Novel diamine monomer (o-NO2Bn-DA), having o-nitrobenzyl (o-NO2Bn) group was synthesized from o-nitrobenzylalcohol as a starting material. The polyimides were synthesized from 3,4'-oxydiphthalic anhydride (3,4'-ODPA) as a dianhydride and o-NO2Bn-DA by two steps polymerization systems. The thin films of obtained polyimides were irradiated by UV light (λmax; 365 nm), and the contact angles for the water decreased from near 90o (hydrophobicity) to minimum 60o (hydrophilicity) in proportion to irradiated UV light energy. The addition of photoacid generator (PAG) accelerated these changes of wettability. From the result of surface analyses such as ATR, it is recognized that the hydrophilic groups such as hydroxyl groups and carboxyl groups generate on their surface. Consequently, it is considered that the photoreaction of o-NO2Bn group significantly affects the changes of wettability by UV light irradiation.
    Download PDF (660K)
  • Rumiko Yamaguchi, Kota Inoue, Ryo Kurosawa
    2016 Volume 29 Issue 2 Pages 289-292
    Published: June 21, 2016
    Released on J-STAGE: August 07, 2016
    JOURNAL FREE ACCESS
    Polymer stabilized liquid crystal (PSLC) cells have been fabricated by using a reactive mesogen (RM) and various LC materials. It is clarified that a polymer network structure changes by selecting not only RM but also LC materials. Using the LC with a tolane substance, a "rice grain like" morphology can be obtained, which results in low threshold and driving voltages even if a dielectric constant anisotropy of the LC is very small. On the other hand, a “smooth stranded network” morphology causes the high driving voltage. When two LC materials which contribute to the smooth stranded network morphology are mixed and the LC mixture is used to fabricate the PSLC cell, the rice grain like morphology is formed. The driving voltage is lower than that in cells using two pure LCs. A relationship between solubility of the RM in the LC and the morphology formation is not observed.
    Download PDF (1800K)
  • Motoi Kinoshita, Motoyuki Furukawa, Saki Oka, Yasuhiro Aoki, Atsushi ...
    2016 Volume 29 Issue 2 Pages 293-294
    Published: June 21, 2016
    Released on J-STAGE: August 07, 2016
    JOURNAL FREE ACCESS
    Photoinduced reorientation behavior of orange and red-fluorescent dye-doped LCs were explored. Solvent red 197 was found to function as a dye for photoinduced alignment change of LC system.
    Download PDF (538K)
  • Miki Kanao, Masayoshi Higuchi
    2016 Volume 29 Issue 2 Pages 295-300
    Published: June 21, 2016
    Released on J-STAGE: August 07, 2016
    JOURNAL FREE ACCESS
    An imine-based bis-NNO-tridentate ligand (L1) was prepared by condensation of a salicylaldehyde derivative with 8-aminoquinoline. L1 formed a 1:1 complex with Ni(II) ions with a high coordination constant. A one-dimensional, Ni-based metallo-supramolecular polymer (polyNiL1) was synthesized via the 1:1 complexation of Li with Ni(II) ions in DMF at 60oC. L1 and polyNiL1 were characterized by IR absorption spectroscopy. In the IR spectrum of polyNiL1, a shift of the C=N absorption peak based on the complexation was observed. In UV-vis spectroscopy of polyNiL1, a strong absorption appeared at 505 nm, which is attributed to the metal-to-ligand charge transfer (MLCT) band from Ni(II) ions to L1. In a cyclic voltammogram of polyNiL1 in a DMF solution, a reversible redox wave was observed at +0.58 V. PolyNiL1 in a film state exhibited electrochromism between reddish-orange and green color by applying -2.5 V and +2.5 V, respectively. The green color in the oxidation state comes from the ligand-to-ligand charge transfer (LLCT) of a radical cation between the phenyl ring and the quinoline ring in polyNiL1. Since the radical cation of L1 was stabilized by a Ni(II) ion in the complex, this electrochromism showed good reversibility about 10 times under ambient conditions.
    Download PDF (1024K)
  • Yusuke Tajima, Hideaki Takaku, Harumi Hayakawa, Shota Okamoto, Tetsuya ...
    2016 Volume 29 Issue 2 Pages 301-304
    Published: June 21, 2016
    Released on J-STAGE: August 07, 2016
    JOURNAL FREE ACCESS
    We report the process-derived features in the ESD method for organic electronics fabrication. The multilayer structures of OPV and OLED from the whole of the solution process were formed using the ESD method without dissolving the underlying organic layer. Furthermore, a dome-shaped glass substrate was used as the substrate with a three-dimensional curved surface, which has not been used by other coating methods.
    Download PDF (2733K)
  • Katsuyuki Shizu, Hironori Kaji
    2016 Volume 29 Issue 2 Pages 305-310
    Published: June 21, 2016
    Released on J-STAGE: August 07, 2016
    JOURNAL FREE ACCESS
    Thermally activated delayed fluorescence (TADF) emitters are attracting increasing attention because of their high electricity-to-light conversion efficiencies in organic light-emitting diodes (OLEDs). The electricity-to-light conversion efficiency of TADF emitters depends largely on their rates of radiative decay and transition from triplet to excited singlet states. To realize high-performance TADF-based OLEDs, TADF emitters should exhibit both rapid radiative decay and rapid triplet-to-singlet conversion. Herein, we describe a rational molecular design strategy for such TADF emitters based on theoretical and computational chemistry. Our design strategy provides a guideline for realizing high-performance TADF-based OLEDs.
    Download PDF (847K)
  • Tatsuo Mori, Masato Imanishi, Takao Nishikawa
    2016 Volume 29 Issue 2 Pages 311-316
    Published: June 21, 2016
    Released on J-STAGE: August 07, 2016
    JOURNAL FREE ACCESS
    We propose the evaluation approach of the electron current in the bilayer organic light-emitting diode (OLED) using its device current and the hole current of hole transport material. The conduction current whose 50 nm-thick diamine derivative (α-NPD) layer is deposited on a fluorinated self-assembled monolayer (FSAM)-modified indium-tin-oxide (ITO), is presented by the space charge limited current (SCLC) mechanism. When the carrier balance factor is assumed to be a unity with the current continuity law, the electron current of the α-NPD/aluminum quinolone (Alq3) OLED can be evaluated experimentally. In addition, it is suggested that the electron current depends on the hole current from the comparison with the electron current of Alq3 single layer.
    Download PDF (741K)
  • Takayuki Chiba, Arata Fukada, Masahiro Igarashi, Tatsuya Hikichi, Sato ...
    2016 Volume 29 Issue 2 Pages 317-321
    Published: June 21, 2016
    Released on J-STAGE: August 07, 2016
    JOURNAL FREE ACCESS
    The phosphorescent organic light-emitting devices (OLEDs) consisting of the solution-processed four organic layers: hole injection layer, electron blocking layer, emissive layer, and electron injection layer were fabricated. The small-molecule host material used in the device, 3,3',6,6'-tetrakis(9-phenyl-9H-carbazol-3-yl)benzophenone (TCzBP), is insoluble in alcohol solvents such as methanol, ethanol, and 2-propanol. Therefore, alcohol soluble electron injection materials can be coated onto small-molecule TCzBP based-phosphorescent emissive layer from alcohol solution. Power efficiency of 22 lm/W and external quantum efficiency of 14.6% were achieved at 1000 cd/m2 with spin-coated cesium carbonate (Cs2CO3) as an electron injection layer.
    Download PDF (681K)
  • Kenichi Matsuoka, Ken Albrecht, Kimihisa Yamamoto, Katsuhiko Fujita
    2016 Volume 29 Issue 2 Pages 323-326
    Published: June 21, 2016
    Released on J-STAGE: August 07, 2016
    JOURNAL FREE ACCESS
    PLED devices with phenyl substituted carbazole dendrimers GnPh as phosphorescent host materials were investigated. G3Ph and G4Ph are efficient phosphorescent hosts having high triplet energy and good alcohol resistance. These high generation dendrimers have certain compatibility for solution-based multilayer construction in OS approach. Our findings demonstrate that, for dendritic materials, not only the solvent resistance but also the interaction between the solutes and underlying dendrimer films needs to be considered.
    Download PDF (1276K)
  • Hitoshi Sasaki, Kenichi Shoji, Yuto Noguchi, Atsuko Kuroda, Hirokazu F ...
    2016 Volume 29 Issue 2 Pages 327-330
    Published: June 21, 2016
    Released on J-STAGE: August 07, 2016
    JOURNAL FREE ACCESS
    Recently, organic semiconductors have been attracting extensive interests such as an active layer for thin film transistors. The electric conduction in organic semiconductor is governed by hopping conduction because of crystallographic directions with especially weak &pai;-electronic interactions. Therefore, the mobility is improved as the molecular orientation is improved. We have tried to obtain the uniform molecular orientation of photocurable mesogenic monomers and solidify the orientational structure by irradiation of UV light. Furthermore, we have examined the charge carrier transport properties of the solidified samples and researched the influence of the molecular orientation on the carrier transport. As a result, the textures observed at 55 and 30 oC before the photocure, show non-uniform structures. It is guessed that the compatibility of UCL-001 with C8-BTBT is low, and then, the mixture of UCL-001 and C8-BTBT were phase-separated. On the other hand, in the polymer concentration of 75 wt%, the isotropic phase structure can be solidified by photocuring at 100oC. In the charge carrier transport properties, the hole mobility of the solidified samples cannot be determined. However, from the viewpoint of the quantity of photocurrent, the sample photocured at 55oC shows the most quantity of photocurrent. It is confirmed that the charge carrier transport properties depend on the molecular orientation, and in order to obtain the high mobility, the uniform orientation is important.
    Download PDF (6395K)
  • Yoshihito Kunugi, Shuhei Murata, Hiroyuki Otsuki, Kazuo Okamoto
    2016 Volume 29 Issue 2 Pages 331-334
    Published: June 21, 2016
    Released on J-STAGE: August 07, 2016
    JOURNAL FREE ACCESS
    We synthesized three regioisomers of bis-octylphenyl chrysenes and characterized thin-film transistors based on the newly developed material.
    Download PDF (981K)
  • Toshiaki Aoai, Ryo Nishio, Naoyuki Hayashi, Kimiatsu Nomura
    2016 Volume 29 Issue 2 Pages 335-341
    Published: June 21, 2016
    Released on J-STAGE: August 07, 2016
    JOURNAL FREE ACCESS
    A new doping method for conductive polymers was investigated. Using a photo acid generator (PAG) as a doping precursor gave the carrier concentration control and thereby good electrical conductivity of the polymers after UV exposure. By this photo doping method with PAGs, a homogeneous film of conductive polymer could be prepared without aggregation and de-doping at the film. It was also effective for composite materials comprised of conductive polymers and CNT to perform much higher conductivity compared to non-doping material. The composite materials showed a good power factor as an organic thermoelectric material.
    Download PDF (655K)
  • Yoshihito Kunugi, Maho Mizuno, Akie Seki, Yuki Shimoyama, Koji Tomita
    2016 Volume 29 Issue 2 Pages 343-345
    Published: June 21, 2016
    Released on J-STAGE: August 07, 2016
    JOURNAL FREE ACCESS
    We successfully fabricated an ellipsoidal TiO2 nanoparticle-based DSSC device using the electrostatic inkjet printing method. The inkjet-printed TiO2 film was quite porous compared with doctor-blade films, and an energy conversion efficiency of 8.1% was obtained for the inkjet-printed DSSC under simulated AM 1.5 illumination (100 mW cm-2).
    Download PDF (1293K)
  • Takeshi Yasuda, Junpei Kuwabara, Liyuan Han, Takaki Kanbara
    2016 Volume 29 Issue 2 Pages 347-352
    Published: June 21, 2016
    Released on J-STAGE: August 07, 2016
    JOURNAL FREE ACCESS
    We applied both bithiazole (BTz)-based homopolymer (PBTz) and BTz-based donor-acceptor polymers (PEDOTBTz) synthesized by direct C-H arylation reaction as donor materials for bulk heterojunction (BHJ) organic photovoltaics (OPVs), and investigated the resulting OPV performances. We found that BHJ layers with BTz-based polymers and fullerene derivatives (PCBMs) spin-coated from a chloroform (CF) solution had a tendency to form large phase separation owing to the strong repulsion of crystalline BTz-based polymers with PCBMs. The resultant power-conversion efficiencies (PCEs) of PEDOTBTz-based BHJ OPVs were approximately 0.6%. After optimizing the spin-coating solvent from CF to a mixture of CF and o-dichlorobenzene, and then changing the interlayer between the ITO and the BHJ layer from PEDOT:PSS to WO3, a PCE of 1.41% was obtained for the PEDOTBTz-based BHJ OPVs.
    Download PDF (3587K)
  • Taiki Nobeshima, Heisuke Sakai, Yuya Ishii, Sei Uemura, Manabu Yoshida
    2016 Volume 29 Issue 2 Pages 353-356
    Published: June 21, 2016
    Released on J-STAGE: August 07, 2016
    JOURNAL FREE ACCESS
    Randomly deposited or uniaxially aligned electrospun poly(DL-lactic acid) fibers were prepared, and the molecular orientation was characterized by polarized Fourier-transform infrared (FT-IR) spectroscopy. For randomly deposited fibers, the parallel polarized FT-IR spectrum was the same as that of the perpendicularly polarized spectrum, indicating that there was no specific molecular orientation in this electrospun film. In contrast, for the uniaxially aligned sample, IR band intensities and the positions of C-O-C stretching changed when the IR beam was polarized either parallel or perpendicular to the fiber axis. Because the C-O-C stretching is parallel to the polymer backbone, this change indicates that the polymer chain in the fiber was stretched and aligned. The dichroic ratio of the band at 1090 cm-1, attributed C-O-C stretching, was 2.9. Furthermore, the high-frequency shift of the peaks in its perpendicularly IR spectra suggests that the polymer chains in the fiber were tightly packed during the electrospinning process.
    Download PDF (3062K)
feedback
Top