Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
30 巻, 1 号
選択された号の論文の19件中1~19を表示しています
  • Paul F. Nealey
    2017 年 30 巻 1 号 p. 3-5
    発行日: 2017/06/26
    公開日: 2017/08/10
    ジャーナル フリー
    The Photopolymer Science and Technology Award No. 171100, the Outstanding Achievement Award 2017, was presented to Paul F. Nealey (The University of Chicago) for his outstanding achievements in photopolymer science and technology, "Pioneering Contribution in Directed Self-Assembly (DSA) Technologies using Lithographically Defined Nano-Patterns".
    Editor's pick

  • Kenji Yoshimoto, Akihisa Yoshida, Masahiro Ohshima, Takashi Tanigu ...
    2017 年 30 巻 1 号 p. 7-11
    発行日: 2017/06/26
    公開日: 2017/08/10
    ジャーナル フリー
    The Photopolymer Science and Technology Award No. 172100, the Best Paper Award 2017, was presented to Kenji Yoshimotoa,b, Akihisa Yoshidab, Masahiro Ohshimab, Takashi Taniguchib, Katsuyoshi Koderac, Yoshihiro Nakac, Hideki Kanaic, Sachiko Kobayashic, Simon Maedac, Phubes Jiravanichsakulc, Katsutoshi Kobayashic, Hisako Aoyamac (aCenter for the Promotion of Interdisciplinary Education and Research, Kyoto University, bDepartment of Chemical Engineering, Graduate School of Engineering, Kyoto University, cToshiba corporation) for their outstanding contribution published in Journal of Photopolymer Science and Technology, 29, (2016) 709-715, entitled "Direct Self-Assembly for Non-Periodic Designs" and Journal of Photopolymer Science and Technology, 26, (2013) 809-816, entitled "Large-Scale Simulations of Directed Self-Assembly with Simplified Model."
    Editor's pick

  • Kyohei Nakano, Yujiao Chen, Kaori Suzuki, Keisuke Tajima
    2017 年 30 巻 1 号 p. 13-15
    発行日: 2017/06/26
    公開日: 2017/08/10
    ジャーナル フリー
    The Photopolymer Science and Technology Award No.172200, the Best Paper Award 2017, was presented to Kyohei Nakano, Yujiao Chen, Kaori Suzuki and Keisuke Tajima (The Center for Emergent Matter Science (CEMS), RIKEN) for their outstanding contribution published in Journal of Photopolymer Science and Technology, 29, (2016) 533-536, entitled "Modification of Donor/Acceptor Interface for Efficient Organic Photovoltaics".
    Editor's pick

  • Andy Miller, Kenneth J. Rebibis, Fabrice D. D. Duval, Teng Wang, ...
    2017 年 30 巻 1 号 p. 17-24
    発行日: 2017/06/26
    公開日: 2017/08/10
    ジャーナル フリー
    With the continued expansion of computing capability and reduction in technology node for advanced logic or memory devices the challenges encountered due to packaging also increase. Driven by Power, Performance and Area requirements of sub 14nm node devices and their associated system architectures, packaging solutions must also enable optimum performance whilst maintaining an affordable approach, especially within the mobile applications market. Due to this, the role of polymers within the integrated system of multi die packaging becomes ever more important. Polymers have become a vital enabler from both a technical and cost reduction viewpoint, where they have a role to play in various position within the integration scheme. Stress buffers layers are common, but we now move into the era of commercialized wafer level underfills, the use of silicone style materials for Chip Scale Packaging (CSP) to enable more flexible interconnects, Temporary Bonding Materials (TBM) compatible with alternative polymer materials, even pushing to the point of TBM compatibility with overmold materials. Even with all this research the thermal aspects of performance computing means we must also seek improved Thermal Interface Materials (TIMs) in addition to all of the afore mentioned materials.
  • Chengxiang Zhang, Tingxi Li, Hui Song, Yongqin Han, Haibo Su, Ya ...
    2017 年 30 巻 1 号 p. 25-31
    発行日: 2017/06/26
    公開日: 2017/08/10
    ジャーナル フリー
    To improve the mechanical property of epoxy resin (EP) had received intensive attentions in engineering industries at present. For this reason, three kinds of epoxy resin nanocomposites were prepared by incorporating different POSS nanoparticles. This study showed the toughening effect of three kind of nanocomposites and analyzed the different toughening mechanism. The thermomechanical properties and impact strength of the samples were investigated by means of dynamic thermomechanical analysis (DMA) and XJJ-50 type tester. The thermal stability was examined by thermal gravimetric analysis (TGA) and scanning electron microscopy (SEM) was used to characterize the morphology of fracture surfaces for composites. When introduction of Octavinyl-POSS (OV-POSS), DodecaPhenyl-POSS (DP-POSS) and Methacryl-POSS (M-POSS) was 1.0 phr, the values of impact strength reach 22.60 kJ/m2, 24.90 kJ/m2, 29.61 kJ/m2 and the values of storage modulus get to 2.88 GPa, 2.25 GPa, 2.14 GPa respectively. It can be observed that M-POSS has the most obvious effect when introduced into the same amount of POSS. Meanwhile, adding nanoparticles has no obvious impact on the excellent thermal stability of EP.
  • Yu Xia, Yingshan Zhou, Qi Dong, Hongjun Yang, Xin Liu, Shaojin ...
    2017 年 30 巻 1 号 p. 33-40
    発行日: 2017/06/26
    公開日: 2017/08/10
    ジャーナル フリー
    電子付録
    Photocrosslinkable water-soluble maleilated chitosan was synthesized by mixing high molecular weight of chitosan with maleic anhydride under mild and heterogeneous reaction conditions using dimethyl sulfoxine as a solvent. And then, maleilated chitosan (MCS)/ poly(ethylene glycol) diacrylate (PEGDA) hydrogels were prepared under UV radiation. Series of properties of the hydrogels including rheological property, swelling behavior, morphology and mechanical test were investigated. The results showed that, the MCS/PEGDA hydrogels had faster gel-forming rate, higher compressive strength than MCS hydrogel. The swelling behavior and mechanical properties of the hydrogels were also tunable via the control of weight ratio of MCS to PEGDA. The indirect cytotoxicity assessments of the hydrogels were studied. The result showed the photocrosslinked hydrogels was compatible to L929 cells at low dosages. Cell culture assay also demonstrated that the hydrogels were good in promoting the L929 cells attachment, showing their potential as tissue engineering scaffolds.
  • Kohki Mukai, Jun Tanaka
    2017 年 30 巻 1 号 p. 41-48
    発行日: 2017/06/26
    公開日: 2017/08/10
    ジャーナル フリー
    In this study, a method to produce three-dimensional nickel microstructures by pouring an electroless plating solution into a through-hole mold made of photocurable resin is presented. Single-spiral and double-spiral models of 100-μm-diameter wires and 450-μm-long bunny models with 40-μm-thick ears at the minimum were fabricated using nickel, which was obtained from the resin mold. After the inflow plating into the through-hole resin mold, the spiral shape was found to be successfully transformed to the nickel product after the resin mold was sublimated. For the bunny model, dip plating was added after the inflow plating to the thin ears for successful transfer of original mold shape. The fine structure of the model surface suggested that the replication accuracy achieved the resolution of the optical lamination modeling.
  • Toshiyuki Horiuchi, Takanori Sato, Hiroshi Kobayashi
    2017 年 30 巻 1 号 p. 49-55
    発行日: 2017/06/26
    公開日: 2017/08/10
    ジャーナル フリー
    Pattern width homogeneity in an exposure field of gradient-index (GRIN) lens array was greatly improved by changing the sub-scan method for averaging the pattern widths. By investigating the pattern width distribution in the exposure field, it was clarified that the parts, where the printed patterns were degraded and pattern widths were notably changed, appeared as striped lines. It was supposed that the striped abnormal pattern-width change was caused by the extra exposures for the times when the sub-scan stage was stopped at both the sub-scan ends for turning the scan direction. For this reason, sub-scan length was vastly extended, and the sub-scan stage was turned at the both ends after all the patterns on a reticle passed over the actually used parts of a GRIN lens array. By this method, no patterns were exposed and projected on a wafer while the sub-scan stage was stopped for turning at the both ends. As a result, 15-μm L&S patterns were almost homogeneously printed in the exposure field within a variation range of ±6%.
  • Shuichi Sato, Tetsuya Chikama, Mikio Ohuchi
    2017 年 30 巻 1 号 p. 57-67
    発行日: 2017/06/26
    公開日: 2017/08/10
    ジャーナル フリー
    Photoelectric properties and drive characteristics of guest-host (GH)-type liquid crystal cells with yellow, magenta, cyan, green, and gray colors using 4-cyano-4'-pentylbiphenyl (5CB) and three diazo-based dichroic dyes were systematically investigated in different driving modes. The dichroic dyes have structures similar to 5CB; therefore, they uniformly mixed and dispersed into the liquid crystal matrix. The green and gray colors were obtained by mixing appropriate ratios of two or more dyes. Impedance of the GH cells decreased upon the incorporation of the dyes into 5CB. However, the photoelectric properties and drive characteristics of the liquid crystal cells were not altered after the inclusion of the dye. In particular, the alignment of the molecules strongly depended on the cell structure. The GH cells in twisted nematic mode exhibited a low driving voltage of approximately 1.5 V.
  • Christophe Navarro, Celia Nicolet, Fumi Ariura, Xavier Cheva ...
    2017 年 30 巻 1 号 p. 69-75
    発行日: 2017/06/26
    公開日: 2017/08/10
    ジャーナル フリー
    Silicon-containing and modified PS-b-PMMA high-χ block copolymers materials were produced to achieve lamellar mesostructure as low as 14 nm intrinsic period (L0) and ordered by graphoepitaxy or chemoepitaxy processes. Line Edge Roughness (LER) measurements of 2.5 nm (3 σ) can be extracted from CD-SEM pictures of poly [(1,1-dimethylsilacyclobutane)-b-styrene] after etching step. Materials integrations on a 300 mm track process are highlighted. In fingerprint, new BCPs LWR L/S values are 1.5/1.1 nm in comparison to a graphoepitaxy flow where the LWR L/S values are 2.0/1.1 nm. Alternative methods to create high-resolution guiding patterns for directed self-assembly of block co-polymers and the scale-up to obtain industrial BCPs meeting electronic requirement are also reported.
  • Yusuke Nakatani, Tetsuo Harada, Atsushi Takano, Motoyuki ...
    2017 年 30 巻 1 号 p. 77-82
    発行日: 2017/06/26
    公開日: 2017/08/10
    ジャーナル フリー
    In DSA pattern observation of hp 5 nm and below, the pattern observation method for physical and chemical structures is necessary. Scattering measurement of hard X-rays is one method which can quantitatively evaluate the physical structure of materials. However, when many components such as polymer materials are mixed, it can observe the averaged physical structure, and it is difficult to evaluate the structure of the individual chemical components. Thus, we developed a scattering measurement method using soft X-rays near the carbon absorption edge. Since the absorption spectrum varies in the near carbon absorption edge for each polymer molecule, the individual physical structures can be observed from the scattering profile with different photon energy. In this study, both the physical and chemical structures of the triblock terpolymers such as poly[isoprene-block-styrene-block-(2-vinylpyridine)] which consists of polyisoprene, polystyrene, and poly(2-vinylpyridine) were observed. The physical structure of the triblock polymer observed two types of the packed cylinder structures such as the tetragonal and hexagonal packed structures. The film thickness of this triblock polymer was 400 nm. By varying the photon energy of the scattering measurement, the scattering light intensity changed greatly, and the signal from each polymer could be evaluated. The soft X-ray scattering measurement at the near absorption edge can be measured the molecular structure of a composite material such as a blended polymer individually and can be utilized in material development.
  • Xuemiao Li, Jie Li, Hai Deng
    2017 年 30 巻 1 号 p. 83-86
    発行日: 2017/06/26
    公開日: 2017/08/10
    ジャーナル フリー
    The directed self-assembly (DSA) of block copolymers has attracted a great deal of interest due to its potential applications in sub-10 nm lithography. The conventional organic-organic DSA materials such as poly[styrene-block-(methyl methacrylate)] (PS-b-PMMA) have been extensively studied, however, the low etch contrast between two blocks and the difficulty to reduce L0 (ca. 28 nm) limit its application. In this study, we designed and synthesized the novel DSA materials based on PS-b-PMMA. Through the modifying of acrylics part, segment-segment interaction parameter (χ) can be significantly increased, which leads to rapid self-assembly and high etch contrast. These block copolymers show the potential as DSA material with high intrinsic resolution for sub-10 nm and beyond nodes.
  • Shota Niihara, Daiki Mamezaki, Masanori Watanabe, Tetsuo Harada, ...
    2017 年 30 巻 1 号 p. 87-92
    発行日: 2017/06/26
    公開日: 2017/08/10
    ジャーナル フリー
    Since the resist thickness in EUV lithography of which base material mainly consists of an organic material is thin, the EUV photon energy is not be used efficiently for the EUV chemical reaction. In order to increase chemical reaction incidence in the EUV photon energy, a resist having a high-absorption material compounds for the EUV photons has been developed. It has been studied to increase the absorption including high-absorption materials such as hafnium and zinc, tin oxide, tellurium. For the development of the next-generation high sensitive resist materials, since it is significant to measure the EUV absorption coefficient accurately, it is necessary to measure the transmittance and resist thickness to obtain the absorption coefficient accurately. Thus, we have developed to evaluate the absorption coefficient of the EUV resist at BL 10 beamline of NewSUBARU synchrotron hlight facility. In the previous paper, we measured the EUV resist transmittance on a freestanding membrane. However, since it was very difficult to coat resist on a membrane with high uniformity, the transmittance could not be measured accurately. Thus, we have developed the precise transmittance measurement method by coating resist on a photodiode directly, and the resist thickness on a photodiode was measured by XRR accurately instead on conventional method. Finally, the accurate measurement of EUV resist absorption coefficient was achieved.
  • Kazuki Kasahara, Hong Xu, Vasiliki Kosma, Jeremy Odent, Emm ...
    2017 年 30 巻 1 号 p. 93-97
    発行日: 2017/06/26
    公開日: 2017/08/10
    ジャーナル フリー
    EUV lithography is a promising candidate for the manufacturing of semiconductor devices for the 7 nm node and beyond. The success of any lithography depends on the availability of a suitable resist with high resolution, sensitivity and low LWR. Though polymer type CAR (chemically amplified resist) is the current standard photoresist, entirely new resist platforms are required due to the performance targets of smaller process nodes. To meet this target, metal oxide photoresists have been designed and lithographic properties have been studied. In this paper, scum elimination studies with dissolution rate acceleration concepts and new metal core applications are described.
  • Jarich Haitjema, Yu Zhang, Niklas Ottosson, Albert M. Brouwe ...
    2017 年 30 巻 1 号 p. 99-102
    発行日: 2017/06/26
    公開日: 2017/08/10
    ジャーナル フリー
    Organotin oxo cage compounds have an electronic absorption band in the UV spectral range (λmax ~220 nm) associated with a σd electronic transition. Irradiation at 225 nm of thin films of these materials leads to loss of carbon, as shown by X-ray Photoelectron Spectroscopy. Photolysis of solutions of the compounds causes a decrease and slight broadening of the absorption band, consistent with replacement of the organic groups. Quantum chemical calculations support the breaking of the tin-carbon bonds as the primary process, both in electronically excited states and in oxidized states that can be expected to be the result of EUV photoionization.
  • Mari Fukunaga, Hiroki Yamamoto, Takahiro Kozawa, Takeo Watanabe, H ...
    2017 年 30 巻 1 号 p. 103-107
    発行日: 2017/06/26
    公開日: 2017/08/10
    ジャーナル フリー
    Synthesis, physical properties, and resist properties of tellurium containing polymer with pendant adamantyl ester groups poly(Re-co-Te)-AD were examined, relevant to the application of resist material for extreme ultraviolet laser photolithography (EUVL) system. A tellurium containing polymer with pendant hydroxyl groups poly(Re-co-Te) was synthesized by the condensation reaction of resorcinol (Re) and tellurium tetrachloride (TeCl4), followed by the condensation reaction with adamantyl bromo acetate to give a corresponding polymer poly(Re-co-Te)-AD. Their physical properties (solubility, film-forming ability, thermal stability) and resist properties (thickness loss property after soaking in 2.38 wt% TMAH aq. solution, out-gassing on EUV exposure tool, and resist sensitivity under EUV exposure tool) were also examined.
  • Seiji Takahashi, Yoichi Minami, Mikio Kadoi, Yoko Matsumoto, Atsush ...
    2017 年 30 巻 1 号 p. 109-112
    発行日: 2017/06/26
    公開日: 2017/08/10
    ジャーナル フリー
    In this study, we evaluated the outgassing generated from EUV resist which included metal oxide nanoparticles during electron irradiation. We prepared two types of samples including ZrO2 and TeO2, and a sample without including metal oxide, respectively. The outgassing species were measured from each sample during electron irradiation at the Eth exposure dose. The electron acceleration energy was 2 keV. In the outgassing measurement, we used original in-situ outgassing monitoring system in quadrupole mass spectrometry which we developed. From the results of mass spectrum, we observed CO2, H2O as typical mass peaks at each sample. And also we observed C4H4O2 and C4H6O2 peaks in spectrum of each sample. And these peaks are guessed 2(5H)-furanone and butyrolactone generated from base polymer. C6H6 peaks were observed in the mass spectrum of including ZrO2 and TeO2. We guessed that these peaks are from benzene generated from photo-acid generator (PAG). On the other hand, C6H6 peaks were not observed in the mass spectrum of without metal oxide. About this, we could not explain that mechanism by our knowledge of the present, but we can guess that metal oxide nanoparticles worked to generate benzene. About metal oxide peaks did not observe about mass spectrum of each sample. Each metal or metal oxide might not be evaporated during electron irradiation.
  • Amrit Narasimhan, Liam Wisehart, Steven Grzeskowiak, Leonidas E. O ...
    2017 年 30 巻 1 号 p. 113-120
    発行日: 2017/06/26
    公開日: 2017/08/10
    ジャーナル フリー
    The lithography community has studied EUV photoresists for nearly thirty years. Yet, some of the most basic details of the interaction of EUV photons with photoresists remain poorly understood. In a typical photochemical reaction using long-wavelength light (λ = 157-1000 nm), photons create excited states in photoactive compounds, thereby creating known quantities of intermediates and photoproducts at measurable rates. The photochemical reactions occurring during EUV exposure are much more complex and, as yet, not fully explored. The 92 eV EUV photons ionize molecules in the resist, creating holes and free electrons, however, the numbers of these electrons created, their reaction mechanisms, lifetimes and reaction cross-sections are not well known. Here, we will discuss experimental results and provide insight into these poorly understood aspects of EUV exposure mechanisms.
  • Michael Murphy, Amrit Narasimhan, Steven Grzeskowiak, Jacob Sitterl ...
    2017 年 30 巻 1 号 p. 121-131
    発行日: 2017/06/26
    公開日: 2017/08/10
    ジャーナル フリー
    We have developed a method to study the photomechanism of our antimony carboxylate platform Ph3Sb(O2CR')2. A series of mechanistic studies followed the production of reaction byproducts by mass spectrometer, as they leave the film during exposure to EUV photons or 80 eV electrons. The major volatile products are CO2, benzene and phenol. The rate of outgassing is well-correlated with the reaction energy of decarboxylation of the carboxylate ligand as determined by density functional theory. Additionally, a deuterium labeling study was conducted to determine the source of hydrogen needed to convert phenyl and phenoxy to benzene and phenol. Specifically, EUV exposure of Ph3Sb(O2CCD3)2 creates d0-benzene and d1-phenol with >95% isotopic purity. Several mechanistic pathways are proposed and discussed.
feedback
Top