Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
Volume 30, Issue 2
Displaying 1-21 of 21 articles from this issue
  • Toshihiko Matsumoto, Hiroki Ozawa, Tomohiro Mizuta, Shinichi Komatsu
    2017 Volume 30 Issue 2 Pages 133-137
    Published: June 26, 2017
    Released on J-STAGE: August 10, 2017
    JOURNAL FREE ACCESS
    The alicyclic dianhydride (CpODA) was polycondensated with an aromatic diamine (4,4'-DDE) at room temperature. The poly(amic acid)s gave flexible and tough films by a thermal imidization method in the presence or the absence of acetic anhydride (Ac2O). The resulting polyimide films were absolutely colorless. These polyimide films were reheated in the air at 300 oC and 350 oC for each 0.5 h. The thermal durability in transparency was extremely improved by adding Ac2O and the effect was increased as an increase in the amount of Ac2O. It was tried to prepare colorless alicyclic polyimide films in the air by thermal imidization. Several kinds of acylation reagents were used for end-capping. All the polyimide films prepared by thermal imidization in the air at 350 oC took brown color. However, it is found that two carboxylic anhydrides, Ac2O and TFAA, showed a transparency improvement effect of alicyclic polyimide films even on the thermal imidization in the air.
    Download PDF (862K)
  • Shoya Uchida, Ryohei Ishige, Tsutomu Takeichi, Shinji Ando
    2017 Volume 30 Issue 2 Pages 139-146
    Published: June 26, 2017
    Released on J-STAGE: August 10, 2017
    JOURNAL FREE ACCESS
    Thermal imidization reaction of semi-aliphatic poly(amic acid)s (PAAs) prepared from four different semi-aliphatic dianhydrides and 2,2’-bis(trifluoromethyl)-4,4’-diaminobiphenyl (TFDB) was effectively promoted by adding a low-Mw polyethylene glycol (PEG) into the PAAs solutions. The noticeable reduction of imidization temperature is attributable to the plasticizing effects of PEG on the PAA chains. The molecular motions of PAA chains are maintained at elevated temperatures owing to the high decomposition and evaporation temperatures of PEG. Moreover, birefringence and refractive index measurement revealed that more isotropic chain-orientation and dense molecular packing were formed in the PI films cured with PEG. The modified solid structures lead to the better physical properties especially for optical and photonic applications.
    Download PDF (1786K)
  • Atsushi Morikawa, Norihiro Sugaya
    2017 Volume 30 Issue 2 Pages 147-152
    Published: June 26, 2017
    Released on J-STAGE: August 10, 2017
    JOURNAL FREE ACCESS
    3,5-Diamino-4'-ethynylbenzanilide (1) was synthesized from 4-ethynylaniline and 3,5-dinitrobenzoyl chloride. Polyimides (PI-x) having ethynyl groups on the side were prepared from 1, 4,4'-oxydianiline (ODA), and various dianhydrides (x) by the usual two-step procedure that included ring-opening polymerization leading to polyamic acid (PAA-x) and subsequent thermal cyclic dehydration. Since gelation was observed in the polymerization of PAA-c from 3,3'4,4'-benzophenonetetracarboxylic dianhydride (BTDA) (c), the polymerization was performed in the dark. In the dynamic mechanical analysis of PI-x, glass transition temperatures (Tg) were observed at 262-320 oC as tan δ peak temperatures, and the values were higher due to crosslinking of ethynyl groups at 360 oC. Tg of the film prepared from PAA-c solution exposed to a fluorescent lamp was higher than that of a PI-c film prepared in the dark, and photo-crosslinking of ethynyl groups was also observed.
    Download PDF (711K)
  • Mika Kimura, Yu Aoki, Lee Sangchul, Akitoshi Tanimoto, Mamoru Sasak ...
    2017 Volume 30 Issue 2 Pages 153-155
    Published: June 26, 2017
    Released on J-STAGE: August 10, 2017
    JOURNAL FREE ACCESS
    Recently, semiconductor package with redistribution layer (RDL), such as fan-out wafer level package (FOWLP), has been developed to achieve downsizing for increasing pin counts and lowering cost. Dielectric material used for FOWLP needs to meet requirements such as low warpage, high reliability and adhesion strength with metals used as RDL. We developed low-temperature curable positive tone dielectric material, AH series, for FOWLP. AH-3000 has low residual stress to suppress substrate warpage, as well as high tensile strength and low CTE for more reliable FOWLP.
    Download PDF (735K)
  • Kazuyuki Mitsukura, Tomonori Minegishi, Kozo Fujimoto
    2017 Volume 30 Issue 2 Pages 157-161
    Published: June 26, 2017
    Released on J-STAGE: August 10, 2017
    JOURNAL FREE ACCESS
    Photodefinable wafer level underfill (PWLUF) has been evaluated for chip to substrate stacking. The good fluidity of PWLUF after patterning is required for chip and substrate stacking. PWLUF was designed using polyimide, acrylates, epoxides and some additives. It was difficult to improve the fluidity of patterned PWLUF keeping resolution by changing the composition ratio of acrylates and epoxides. On the other hand, PWLUF using the polyimide oligomer obtained by increasing end capping agent ratio improved the fluidity as well as the resolution. The chip to substrate stacks afford void-free bump soldering without underfill entrapment. We also successfully demonstrated the reflow soldering process using PWLUF.
    Download PDF (3279K)
  • Yusuke Tsuda, Syouma Kawabata
    2017 Volume 30 Issue 2 Pages 163-172
    Published: June 26, 2017
    Released on J-STAGE: August 10, 2017
    JOURNAL FREE ACCESS
    The novel aromatic diamine monomer, 4-(3,5-diaminobenzoyl)phenyl 3,4,5-tris(decyloxy)benzoate (3C10-PEBPDA) having three long-chain alkyl groups connected by phenylester and benzophenone linkages was synthesized via six step reactions from gallic acid methyl ester. The novel polyimides and copolyimides were synthesized from 3,4'-oxydiphthalic anhydride (3,4'-ODPA) as a dianhydride, 3C10-PEBPDA, and 4,4'-diaminodiphenylether (DDE) as a diamine co-monomer by two step polymerization systems. The thin films of obtained polyimides were irradiated by UV light (λmax; 254 nm), and the contact angles for the water decreased from near 100o (hydrophobicity) to near 50o (hydrophilicity) in proportion to irradiated UV light energy. Furthermore, it was observed that the incorporation ratios of 3C10-PEBPDA in copolyimides affected the changes of surface wettability by UV light irradiation. From the result of various analytical experiments, it is recognized that the hydrophobic long-chain alkyl groups on the polyimide surface decrease and the hydrophilic groups such as hydroxyl groups and carboxyl groups generate on their surface, and that oxygen in an air affect the generation of these hydrophilic groups.
    Download PDF (1482K)
  • Takahiro Komamura, Teruaki Hayakawa
    2017 Volume 30 Issue 2 Pages 173-176
    Published: June 26, 2017
    Released on J-STAGE: August 10, 2017
    JOURNAL FREE ACCESS
    In this study, we demonstrated fabrication of polyimide composite films with periodic nanostructure on the surface by oxygen plasma reactive ion etching (RIE). Poly(2-vinylpyridine)-block-poly(methyl methacrylate) (P2VP-b-PMMA) was used as a template for formation of periodic nanostructure. The templating block copolymer formed self-assembled structure including the poly(amic acid) (PAA), as a precursor of polyimide, in only the P2VP domain. After the imidization of PAA, PMMA domain was etched selectively by RIE. Thereby, well-ordered hexagonally-packed pores with a d-spacing of approx. 50 nm and a diameter of approx. 25 nm were obtained on the surface of the film.
    Download PDF (2150K)
  • Yuichiro Tokoro, Mariko Miyoshi, Toshiyuki Oyama
    2017 Volume 30 Issue 2 Pages 177-180
    Published: June 26, 2017
    Released on J-STAGE: August 10, 2017
    JOURNAL FREE ACCESS
    Multi-block copolymers between polyester (polyarylate) and polydimethylsiloxane were prepared by polycondensation using polydimethylsiloxane having p-hydroxyphenyl groups at its both chain ends as a diol monomer. The copolymer gave positive-tone fine pattern by the reaction development patterning with developer containing ethanolamine as a nucleophile. The silicone unit hybridized with polyarylate enabled pattern formation from dry film by contributing not only to high photosensitivity but also to high dissolution rate at the photo-irradiated area. Use of polyarylate instead of the copolymer resulted in unsuccessful pattern formation from dry film.
    Download PDF (969K)
  • Masao Tomikawa, Kazuyuki Matsumura, Yu Shoji, Yoshiko Tatsuta, Ryoji ...
    2017 Volume 30 Issue 2 Pages 181-185
    Published: June 26, 2017
    Released on J-STAGE: August 10, 2017
    JOURNAL FREE ACCESS
    Fan-out type wafer level packaging (FO-WLP) technology is one of promising next generation semiconductor package. The FO-WLP technology requires fine pattern pitch re-distribution layer (RDL) with good electrical insulation. In order to meet those requirements, we examined Cu migration resistance of two types of polyimides and photosensitive system under high temperature, high humidity, and high electronic field in order to meet the requirement electrical insulation of fine pattern pitch. We found that there is no large difference between negative photosensitivity and positive photosensitivity. Polyimide structure significantly affects Cu migration under bias HAST condition. From these results, we will describe highly reliable PSPI dry film and coatings. From those results, we developed a reliable positive tone photosensitive polyimide B-stage dry film.
    Download PDF (1532K)
  • Christopher J. Ellison, C. Grant Willson, Dustin Janes, Gregory Blach ...
    2017 Volume 30 Issue 2 Pages 187-190
    Published: June 26, 2017
    Released on J-STAGE: August 10, 2017
    JOURNAL FREE ACCESS
    Si-containing block copolymer line and space patterns with 19.8 nm periodicity have been fabricated using lithographically defined guiding patterns. All processes were performed using leading edge production level nanofabrication tools on the 300 mm wafer scale. Under the conditions described here, top-down micrographs without dislocation defects can be readily obtained using automated inspection recipes and relatively low magnification. Future work will be directed toward continued resolution improvements, characterizing the through-film morphology, and demonstrating pattern transfer.
    Download PDF (2812K)
  • Seina Yamazaki, Rin Odashima, Takehiro Seshimo, Teruaki Hayakawa
    2017 Volume 30 Issue 2 Pages 191-196
    Published: June 26, 2017
    Released on J-STAGE: August 10, 2017
    JOURNAL FREE ACCESS
    The directed self-assembly (DSA) of block copolymers (BCPs) has recently become a viable alternative technique for the nanofabrication of semiconductors. To facilitate pattern transfer onto silicon (Si) wafers from BCP masks, a novel class of Si-rich BCPs with hyperbranched polysiloxane side chains were synthesized in this report. The resistance to oxygen-reactive ion etching (O2-RIE) was quantified for the linear and modified-hyperbranched polysiloxanes, revealing that the modified-hyperbranched polysiloxanes exhibited increased O2-RIE resistances. Furthermore, by tailoring the chemical properties on the end-groups of the polysiloxane side chains, atomic force microscopy (AFM) and scanning electron microscopy (SEM) studies revealed that perpendicularly-oriented lamellae could be observed on the thin films.
    Download PDF (2530K)
  • Takahiro Kozawa, Julius Joseph Santillan, Toshiro Itani
    2017 Volume 30 Issue 2 Pages 197-203
    Published: June 26, 2017
    Released on J-STAGE: August 10, 2017
    JOURNAL FREE ACCESS
    In the development of chemically amplified resists used for extreme ultraviolet (EUV) lithography, the line edge roughness (LER) is a significant concern. The relationship between the sensitivity and LER is complicated because both the photon shot noise and secondary electron blur affect LER. In this study, the relationship between the sensitization distance and the photon shot noise of chemically amplified resists is investigated. Latent images of the line-and-space patterns with 11-nm half-pitch are calculated using the Monte Carlo method. The effect of the sensitization distance on LER increases with the quantum efficiency of acid generation, namely, the decrease in photons. Although quantum efficiency enhancement is an important strategy in the development of chemically amplified resists, the sensitization distance limits the effectiveness of such an enhancement.
    Download PDF (2188K)
  • Masaaki Yasuda, Sho Hitomi, Hiroaki Kawata, Yoshihiko Hirai
    2017 Volume 30 Issue 2 Pages 205-209
    Published: June 26, 2017
    Released on J-STAGE: August 10, 2017
    JOURNAL FREE ACCESS
    Multiscale simulations are performed to study the development process in electron beam lithography. The whole pattern profiles are calculated with the cell removal simulation. The pattern profiles are shown to reflect the electron scattering manner in the resist. The local pattern structures are then studied by molecular dynamics simulation. The residual layer with a lot of voids, the residual polymer chains and concave sidewall reflecting the molecular structures are observed as typical atomic-scale pattern structures. The size of the pattern roughness is observed to be comparable with the molecular size of the resist. The diffusion process of the resist molecules among the developer molecules is also analyzed.
    Download PDF (4766K)
  • Toshiaki Ishii, Nobutake Tsuyuno, Masakazu Tanase
    2017 Volume 30 Issue 2 Pages 211-215
    Published: June 26, 2017
    Released on J-STAGE: August 10, 2017
    JOURNAL FREE ACCESS
    In order to materialize more safe vehicles, active safety systems are being developed. On the other hand, to mitigate environmental threat, electric vehicles and hybrid electric vehicles become widespread. This contributes to reduce CO2 emissions and fuel consumption. To realize low emissions, safety driving and comfortable compartments, electrically-powered and electronically-controlled systems will be widely introduced in automotives in future. Consequently, automotive systems should integrate many electronics such as sensing devices, electronic control components, inverters and control units. These electronic components have to be not only small, lightweight and inexpensive but also highly reliable. Because small-packaged components have higher power density, it is necessary to develop technologies to achieve high heat dissipation and heat resistance. Advanced materials are now being expected to be a breakthrough to provide such technologies. In this paper, we describe such advanced materials and approaches to integrate them into automotive components.
    Download PDF (1967K)
  • Yusuke Tsuda
    2017 Volume 30 Issue 2 Pages 217-224
    Published: June 26, 2017
    Released on J-STAGE: August 10, 2017
    JOURNAL FREE ACCESS
    The soluble polyimides were synthesized from tetracarboxylic dianhydrides such as 3,4'-oxydiphthalic anhydride (3,4'-ODPA) and diamine monomers having various functional groups such as long-chain alkyl groups, natural products, unsaturated long-chain alkyl group, t-Boc or o-nitrobenzyl group. The thin films of obtained polyimides were irradiated by UV light (λmax; 254 nm or 365 nm), and the contact angles for the water decreased from near 100o (hydrophobicity) to minimum 20o (hydrophilicity) in proportion to irradiated UV light energy. From the result of contact angle measurements and the result of the ATR and XPS analysis, it is recognized that the hydrophobic groups on the polyimide surface decrease and the hydrophilic groups such as carboxyl groups and hydroxyl groups generate on their surface. Thus, the surface wettability of these polyimides can be controlled by UV light irradiation.
    Download PDF (1086K)
  • Kenichi Iwashita
    2017 Volume 30 Issue 2 Pages 225-230
    Published: June 26, 2017
    Released on J-STAGE: August 10, 2017
    JOURNAL FREE ACCESS
    As a demand for advanced packaging is growing, the photosensitive insulation material curable below 200 oC is required. We focused on photosensitive polybenzoxazoles derived from aliphatic dicarboxylic acids unit to reduce low cyclization temperature. We found that polybenzoxazole precursor having long methylene unit showed high cyclization percentage and elongation value at 200 oC of curing temperature.
    Download PDF (1341K)
  • Akitoshi Tanimoto, Yu Aoki, Mika Kimura, Sangchul Lee, Takuya Komine, ...
    2017 Volume 30 Issue 2 Pages 231-233
    Published: June 26, 2017
    Released on J-STAGE: August 10, 2017
    JOURNAL FREE ACCESS
    Recently semiconductor packages with redistribution layers are gathering attention because of its electrical performance and low energy consumption. Dielectric materials for these packages require low-temperature curability to avoid thermal damage of devices and thermally unstable materials in the packages. Low-temperature curable positive-tone photodefinable material AH-3000 was developed and its reliabilities were evaluated. AH-3000 kept good mechanical properties after reliability test. AH-3000 is also applicable to slit coating, which is required for next-generation panel level package.
    Download PDF (765K)
  • Kentaro Taki, Kanji Niinuma, Akira Hariu, Hiroshi Ito
    2017 Volume 30 Issue 2 Pages 235-240
    Published: June 26, 2017
    Released on J-STAGE: August 10, 2017
    JOURNAL FREE ACCESS
    A low-reflectance film was used to reduce the reflection of light from the displays of electronic devices (personal computers, televisions, smartphones, etc.). In this study, an ultraviolet (UV)-curable resin was used to form a nano/microstructure by organic-solvent-induced crystallization and to fill the cracks in the polycarbonate plate. Two different processes were examined: Process 1: the surface of the polycarbonate plate was crystallized at 40, 60, 80, or 100 oC for 10 min and thoroughly rinsed with isopropyl alcohol; and Process 2: the surface of the polycarbonate plate was crystallized at 40 oC for a desired time. The excess UV-curable resin was removed by UV-induced curing and CO2 foaming during UV exposure using high-pressure CO2 equipment. CO2 pressures of 4.5, 5.5, and 6.5 MPa and CO2 impregnation times of 80, 160, 240 s were investigated to optimize the microstructure. It was clarified that spherulites of polycarbonate existed on the surface by differential scanning calorimetry and scanning electron microscopy. The surface prepared with Process 2 had a relative reflectance that was about 600 and 30 times lower than those of the untreated polycarbonate plate and a commercially available low-reflectance film, respectively.
    Download PDF (2577K)
  • Eriko Sato, Yoji Yamashita, Takashi Nishiyama, Hideo Horibe
    2017 Volume 30 Issue 2 Pages 241-246
    Published: June 26, 2017
    Released on J-STAGE: August 10, 2017
    JOURNAL FREE ACCESS
    Hyperbranched polymers having a number of reductive disulfide bonds and radically reactive vinyl groups were synthesized by the bulk radical homopolymerization of bis(2-methacryloyloxyethyl)disulfide (disulfide based dimethacrylate, DSDMA) as a divinyl monomer in the presence of methyl 2-(bromomethyl)acrylate as an addition-fragmentation chain transfer agent. The resulting hyperbranched polymers (HB-DSDMAs) were photocured by irradiated at 365 nm in the presence of 2,2-dimethoxy-2-phenylacetophenone as a photo radical initiator. The photocured HB-DSDMA exhibited high transparency in the visible region and good solvent resistance for various organic solvents. Reduction of the disulfide groups smoothly proceeded in the presence of tributylphosphine as a reductant, and the cured HB-DSDMAs were completely solubilized. HB-DSDMAs were also photocured by irradiation at 254 nm due to the homolysis of the disulfide groups with subsequent initiation of the radical polymerization.
    Download PDF (1497K)
  • Kanji Suyama, Hideki Tachi
    2017 Volume 30 Issue 2 Pages 247-252
    Published: June 26, 2017
    Released on J-STAGE: August 10, 2017
    JOURNAL FREE ACCESS
    Photolabile crosslinking units can provide drastic changes of many properties of networked polymers. Herein polyurethanes (PUs) networked with photolabile O-carbamoyloxime units were prepared and characterized. The PUs were made of hexamethylene diisocyanate (HDI), polyethylene glycol (PEG), and truxenone trioxime whose absorption band reached 450 nm. The molar ratio of [NCO] in HDI / [OH] in PEG / [OH] in oxime was 1.10 / 0.967 / 0.033 in feed. Obtained PU was an elastic film with 3 mm thickness and 96% of gel fraction. On irradiation with Hg-Xe lamp, the gel fraction slightly decreased. Also, the decrease in viscoelastic parameters was observed when irradiated at 365 nm in a photorheometer, showing slight degradation of networked structure on near UV-irradiation. The ATR spectra of irradiated surface showed the disappearance of a small peak around 1760 cm-1, suggesting the photodegradation of O-carbamoyloxime units proceeded.
    Download PDF (893K)
  • Hideki Tachi, Kanji Suyama
    2017 Volume 30 Issue 2 Pages 253-257
    Published: June 26, 2017
    Released on J-STAGE: August 10, 2017
    JOURNAL FREE ACCESS
    We have developed novel pressure-sensitive adhesives (PSAs) which could be peeled off easily on ultrasonic irradiation as external stimuli. The PSAs were composed of acid-degradable polyurethanes and microcapsules containing a thermal acid generator (TAG). Three layered (w/o/w) type microcapsules containing a TAG were prepared by coacervation method. Generation of acid from the microcapsules and PSA layers on ultrasonic irradiation in water was confirmed by pH changes. Peel strength of PSAs containing the microcapsules decreased from 10 to 1 N/20mm on ultrasonic irradiation in water at 28 kHz for 20 min. The peel strength of PSAs containing the microcapsules was constant on heating up to 80 oC for 1 h, while that decreased on heating above 80 oC. These results suggest that acid was generated but kept in microcapsules on heating up to 80 oC, and the acid was released from microcapsules when PSAs were ultrasonic irradiated or heated above 80 oC.
    Download PDF (935K)
feedback
Top