Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
31 巻, 2 号
選択された号の論文の25件中1~25を表示しています
  • Yutaka Ie, Yota Kishimoto, Koki Morikawa, Yoshio Aso
    2018 年 31 巻 2 号 p. 145-150
    発行日: 2018/06/25
    公開日: 2018/09/05
    ジャーナル フリー
    We recently reported that a donor-acceptor (D-A) type copolymer based on our developed tetrafluoro-substituted benzodioxocyclohexene-annelated thiophene (C0(F4)) as an acceptor unit and dithienosilole (DTS) as a donor unit shows low photovoltaic characteristics due to the strong electron-accepting nature of C0(F4). Here we show that the incorporation of 3-alkylthiophene spacer unit into DTS-C0(F4) framework is an effective approach to tune frontier molecular orbital energy levels. Organic solar cells based on blend films of newly synthesized D-A copolymers and [6,6]-phenyl-C71-butyric acid methyl ester showed improved photovoltaic responses with a power conversion efficiency of up to 4.83%.This result indicates that the C0(F4) unit can be an effective acceptor unit for D-A copolymers.
  • Fanji Wang, Kazuhito Hashimoto, Hiroshi Segawa, Keisuke Tajima
    2018 年 31 巻 2 号 p. 151-156
    発行日: 2018/06/25
    公開日: 2018/09/05
    ジャーナル フリー
    A poly(3-butylthiophene) derivative with an end group of 1,1,1,3,3,5,5-heptamethyl trisiloxane group (P3BT-Si) was synthesized for the application to surface segregated monolayer (SSM) in polymer films. The surface segregation behaviors, thermal properties and chain orientation of P3BT-Si were investigated. The formation of the surface layer of P3BT-Si was confirmed in the blend films with polystyrene. In contrast to the fluoroalkyl-terminated polymer (P3BT-F17) that shows strong end-on orientation in the SSM with high crystallinity, P3BT-Si showed edge-on orientation in the SSM with low crystallinity. The difference can be attributed to the more flexible structure of trisiloxane group than the fluoroalkyl chain.
  • Kento Yamada, Akinori Saeki
    2018 年 31 巻 2 号 p. 157-162
    発行日: 2018/06/25
    公開日: 2018/09/05
    ジャーナル フリー
    Organic-inorganic metal halide perovskite (MHP) has diversified into various fields, such as a solar cell and light-emitting diode. At the heart of the rich electronic behavior is the dielectric property associated with the permanent dipole of organic cation; however, knowledge on the charge-lattice interaction is still missing. We report an unusual complex photoconductive transient in MHPs composed of methylammonium cation (MA), mixture of lead-tin, and bromide (MA(Pb/Sn)Br3). This anomaly found in the time-resolved microwave conductivity (TRMC) evaluation is rationalized by the charge traps and consequent orientation of MA dipoles insensitive to the microwave. The energetics of photogenerated charges are examined by a near-infrared (IR) push pulse exposed at a certain delay after the ultraviolet (UV) pump pulse. Contributions from a two-photon process and liberation of trapped charges upon the IR irradiation are separately discussed. The identified mechanism points to the utmost importance of dielectric behavior in the gigahertz region, which is usually hindered by mobile charge carriers in the inherent electronic property of MHP.
  • Hiroki Nagashima, Shuhei Kawaoka, Yasunori Matsui, Takashi Tachikawa, ...
    2018 年 31 巻 2 号 p. 163-167
    発行日: 2018/06/25
    公開日: 2018/09/05
    ジャーナル フリー
    The singlet fission (SF) is expected to be powerful tool for exceeding the theoretical limit of the power conversion efficiency on the organic solar cells. However, little is known on the intermolecular SF mechanism in the solid state. In the present study, we have investigated intermolecular SF in 2-phenyl-6,11-bis(triisopropylsilylethynyl)tetracene (TIPS-Ph-Tc) in frozen solutions using a time-resolved electron paramagnetic resonance measurement at a low temperature. SF-born quintet states (Q) and subsequent dissociations into two triplet states (T + T) were detected for a diluted solute concentration of 10-4 M in dichloromethane. The microsecond triplet dissociation was found to occur due to the amorphous morphology in the frozen aggregate, suggesting that Q is generated as a trapped state. Furthermore, it was also suggested that the T + T dissociation follows the Q generation due to a T-T repulsion, whose energy were determined by the negative exchange couplings in the triplet pairs, (TT).
  • Masaki Yabusaki, Kazuhiro Marumoto
    2018 年 31 巻 2 号 p. 169-176
    発行日: 2018/06/25
    公開日: 2018/09/05
    ジャーナル フリー
    Charge accumulation states in organic solar cells were studied in detail by a microscopic characterization with light-induced electron spin resonance (ESR) spectroscopy under device operation using a typical polymer solar cell of indium-tin oxide (ITO)/poly (3,4-ethylenedioxythiophene):poly (4-styrenesulfonate) (PEDOT:PSS)/regioregular poly(3-hexylthiophene) (P3HT):fullerene [6,6]-phenyl C61-butyric acid methyl ester (PCBM)/LiF/Al. Two light-induced ESR signals with a narrow and broad linewidth were observed where the broad component with a slow accumulation rate clearly correlated with the deterioration of the device performance. From the ESR analysis, the charge accumulation state causing the device deterioration is ascribed to holes at P3HT polymer-chain ends with residual bromines in amorphous regions in the active layer. Preventing the charge accumulation seems indispensable to develop highly durable polymer solar cells with high performance.
  • Yanbin Wang, Hyung Do Kim, Biaobing Wang, Hideo Ohkita
    2018 年 31 巻 2 号 p. 177-181
    発行日: 2018/06/25
    公開日: 2018/09/05
    ジャーナル フリー
    Non-fullerene polymer solar cells based on blends of a middle-bandgap polymer, poly[(2,6-(4,8-bis(5-(2-ethylhexyl)thiophen-2-yl)benzo[1,2-b:4,5-b']dithiophene)-co-(1,3-di(5-thiophene-2-yl)-5,7-bis(2-ethylhexyl)-benzo[1,2-c:4,5-c’]dithiophene-4,8-dione)] (PBDB-T) and a low-bandgap non-fullerene derivative (IT-M) exhibit improved short-circuit current density (JSC) by incorporating a wide-bandgap polymer, poly[5,5'-bis(2-butyloctyl)-(2,2'-bithiophene)-4,4'-dicarboxylate-alt-5,5'-2,2'-bithiophene] (PDCBT). This is mainly due to the enhanced absorption efficiency in the visible region for ternary devices. As a result, the power conversion efficiency (PCE) was increased up to 11.2% for the ternary blend device.
  • Takahiro Kozawa, Julius Joseph Santillan, Toshiro Itani
    2018 年 31 巻 2 号 p. 183-188
    発行日: 2018/06/25
    公開日: 2018/09/05
    ジャーナル フリー
    The line edge roughness (LER) of resist patterns is a serious concern in extreme-ultraviolet (EUV) lithography. The resolution blur (caused by secondary electrons) and the shot noise of EUV photons affect LER. In this study, the relationship between resolution blur and shot noise in the LER formation of chemically amplified resists was investigated assuming a virtual sensitization mechanism. The sensitization distance was defined as the distance from the EUV absorption point and the LER of line-and-space patterns with 11 nm half-pitch was calculated by a Monte Carlo method. The relationship between the shot noise limit, the sensitization distance, and the total sensitizer concentration was clarified. In the energy-deficit region, it was feasible to improve the sensitivity by increasing the (apparent) quantum efficiency while maintaining 20% critical dimension (CD) line width roughness (LWR).
  • Masaaki Yasuda, Masanori Koyama, Reo Sakata, Masamitsu Shirai, Hiroaki ...
    2018 年 31 巻 2 号 p. 189-192
    発行日: 2018/06/25
    公開日: 2018/09/05
    ジャーナル フリー
    We have investigated the pattern formation process in ultraviolet (UV) nanoimprint lithography by stochastic and molecular dynamics (MD) simulations. The UV-curing process was investigated by stochastic simulations. The simulations show the polymerization process of UV-cured molecules. The effect of the photoinitiator concentration of the UV-cured resist was also investigated by stochastic simulations. The sizes and shapes of the reacted monomers in UV-cured resists with various molecular weights were also shown. The de-molding process was investigated by MD simulations. For a resist with a relatively low molecular weight, fracture and stretching of the pattern are observed during the de-molding process.
  • Tsuneyuki Haga
    2018 年 31 巻 2 号 p. 193-200
    発行日: 2018/06/25
    公開日: 2018/09/05
    ジャーナル フリー
    Extreme Ultraviolet Lithography (EUVL) is will soon be fully practically applicable to the high volume manufacture of semiconductor chips. This paper describes the establishment of soft X-ray or EUV optical technology utilizing multilayer optical elements and the early stages of research regarding its application as a lithographic technique. The technology was established through the demonstration of three fundamental properties of optics: imaging, interference, and polarization in the soft X-ray region by multilayer optical elements. In imaging optics, we have demonstrated EUVL's feasibility as a lithographic candidate by establishing a design employing two-aspherical mirror optics, processing the aspherical mirrors with a multilayer coating, devising an assembly technology for imaging optics, and realizing an illumination system for large exposure area. This result showing the possibility of large-area exposure constitutes an epoch that strongly promotes the practical application of EUVL. Also, at-wavelength metrology in the EUV wavelength region, such as mask inspection based on a Mirau interferometric microscope, or thin film analysis based on a soft X-ray ellipsometer has contributed greatly to the practical application of EUVL.
  • Hajime Furutani, Michihiro Shirakawa, Wataru Nihashi, Kyohei Sakita, H ...
    2018 年 31 巻 2 号 p. 201-207
    発行日: 2018/06/25
    公開日: 2018/09/05
    ジャーナル フリー
    For semiconductor device manufacturing, line width roughness (LWR) and defect reduction is one of the most important items to obtain high yield. In this study, we described the development of novel high absorption resists for use in extreme ultra violet (EUV) lithography system and its LWR and nano-bridge reduction capability. Herein decomposition rates of photo acid generator (PAG) and several high EUV absorption compounds were studied to clarify inefficient pass on acid generation mechanism. As a result, it is revealed that existence of decomposition pass on high EUV absorption compounds degenerates PAG decomposition efficiency. New high absorption materials were synthesized with taking into account its decomposition durability and its lithographic performance were investigated. 15-20% dose reduction keeping its LWR value and nano-bridge reduction were observed even at lower dose condition compared to non-high absorption platform.
  • Pieter Vanelderen, Danilo De Simone, Valentina Spampinato, Alexis Fran ...
    2018 年 31 巻 2 号 p. 209-214
    発行日: 2018/06/25
    公開日: 2018/09/05
    ジャーナル フリー
    There is a growing awareness that underlayers (UL) are important in EUV lithography (EUVL). In previous lithography generations ULs were required to overcome reflectivity, however that is not a concern in EUVL. Nevertheless, surface interactions are becoming prevalent because the different layers of the stack are becoming thinner. This work focuses on the influence of the spin on carbon (SOC) layer used to print line-space patterns with metal containing resists (MCR). A significant impact of underlayers on the MCR performance in EUVL is demonstrated. There are large effects on the dose to size, resist profile and stochastic nanofailures. The main contributor is the interaction between photoresist and underlayer driven by the density of the SOC UL and, to a lesser extent, other chemical interactions. The key message is that underlayer effects cannot be neglected and should be considered when evaluating the lithographic performance of photoresist materials.
  • Mana Yoshifuji, Shota Niihara, Tetsuo Harada, Takeo Watanabe
    2018 年 31 巻 2 号 p. 215-220
    発行日: 2018/06/25
    公開日: 2018/09/05
    ジャーナル フリー
    EUV lithography will be used as high volume manufacturing of semiconductor devices after 2020, where the half pitch of the devices is 10 nm. EUV interference lithography (EUV-IL) has been developed for the EUV resist evaluation with 10-nm and below patterning. The transmission grating is a key component for EUV-IL. The pitch size of the interference fringes are half size of the absorber pattern of the transmission grating. In this paper, diffraction grating pattern with 20-nm line-and-space pattern with very high aspect of 90-nm carbon layer was fabricated using the dry development rinse (DDR) process with spin-on-carbon under-layer. The diffraction efficiency of the grating is expected to be 6%, which is enough for EUV-IL. In the DDR process, the DDR material replaced the exposed and developed part. The DDR process with dry development is to prevent pattern collapse perfectly because there is no surface tension at the dry development process. As the results, 20-nm L/S pattern with high-aspect-ratio of 5.9 was fabricated, which can be applied for the 10-nm EUV resist evaluation by EUV-IL.
  • Hiroto Kudo, Shizuya Ohori, Hiroya Takeda, Hiroki Ogawa, Takeo Watanab ...
    2018 年 31 巻 2 号 p. 221-225
    発行日: 2018/06/25
    公開日: 2018/09/05
    ジャーナル フリー
    We synthesized tannic acid derivatives with pendant cyclohexyl acetal moieties (TA-CVEn), butyl acetal moieties (TA-BVEn), and adamantyl ester moieties (TA-ADn) by the reaction of tannnic acid (TA) with cyclohexyl vinyl ether (CVE), butyl vinyl ether (BVE), and adamantyl bromo acetate (AD) in various feeds ratios. The synthesized TA-CVEn, TA-BVEn, and TA-ADn had good solubility, good film-forming ability, and high thermal stability relevant to application of photolithography materials. However, only TA-BVE97 and TA-AD74 can be used as positive-type photo-resist materials using 2.38 wt% TMAH aq. as developer due to the result of thickness loss property. Furthermore, their resist-sensitivity upon EUV exposure tool and etching durability were adequate and they have high potential as next-generation resist material for EUV lithography.
  • Carmen Popescu, Yannick Vesters, Alexandra McClelland, Danilo De Simon ...
    2018 年 31 巻 2 号 p. 227-232
    発行日: 2018/06/25
    公開日: 2018/09/05
    ジャーナル フリー
    Irresistible Materials (IM) is developing novel resist systems based on the multi-trigger concept, which incorporates a dose dependent quenching-like behaviour. The Multi Trigger Resist (MTR) is a negative tone crosslinking resist that does not need a post exposure bake (PEB), In this study, we present the results that have been obtained using MTR resists by performing EUV exposures on the ASML NXE3300B EUV scanner at IMEC, and also at PSI and the MET tool at Berkeley. We present the lithography performance of the MTR2 resist series, which has been designed for lower line edge roughness. The MTR2 resist shows 16nm half pitch lines patterned with a dose of 38mJ/cm2, giving a LER of 3.7 nm on the NXE3300. Performance across various process conditions is discussed. We also present a new resist formulation using a crosslinker with a high opacity non-metallic atom attached, which has patterned 13nm lines at PSI and MET.
  • Michael Murphy, Jacob Sitterly, Steven Grzeskowiak, Greg Denbeaux, Rob ...
    2018 年 31 巻 2 号 p. 233-242
    発行日: 2018/06/25
    公開日: 2018/09/05
    ジャーナル フリー
    We have investigated the mechanism of photodecomposition of antimony carboxylate complexes of the type Ph3Sb(O2CR')2 by means of EUV outgassing in combination with isotopic labeling. When exposed to EUV light, these compounds decompose to form CO2, benzene and phenol. The source of hydrogen needed to create phenol can be traced to hydrogens originating in the original organometallic complex. However, it is much more difficult to trace the origin of the hydrogen needed to convert the phenyl groups to benzene (Ph-H). We propose that the primary source of hydrogen to create benzene is external to the film. Additionally, we have prepared isotopically-labeled versions of Ph3Sb(O2CCH(CH3)2)2 in which the hydrogens in the isobutyrate ligand were replaced with 0, 1, 6 and 7 deuteriums, to provide information about the relative reactivity of these protons during EUV exposure as analyzed by mass spectrometry. High reaction selectivity was identified within the carboxylate dictated by hydrogen location relative to the carbonyl for both benzene and phenol generation. Lastly, the results of these studies were used to propose a series of reaction pathways to generate the aforementioned reaction byproducts.
  • Jarich Haitjema, Lianjia Wu, Alexandre Giuliani, Laurent Nahon, Sonia ...
    2018 年 31 巻 2 号 p. 243-247
    発行日: 2018/06/25
    公開日: 2018/09/05
    ジャーナル フリー
    Tin-oxo cage materials are of interest for use as photoresists for EUV (Extreme-Ultraviolet) lithography (13.5 nm, 92 eV), owing to their large absorption cross section for EUV light. In this work we exposed an n-butyl tin-oxo cage dication in the gas phase to photons in the energy range 4-14 eV to explore its fundamental photoreactivity. At all energies above the onset of electronic absorption at ~5 eV (~250 nm) cleavage of tin-carbon bonds was observed. With photon energies >12 eV (<103 nm) photoionization can occur, leading to 3+ ions. Besides the higher charge promotion, butyl chain loss without electron ejection (leading to 2+ fragments) still occurs.
  • Yu Zhang, Jarich Haitjema, Milos Baljozovic, Michaela Vockenhuber, Dim ...
    2018 年 31 巻 2 号 p. 249-255
    発行日: 2018/06/25
    公開日: 2018/09/05
    ジャーナル フリー
    We report on the dual-tone property of the tin-oxo cage (BuSn)12O14(OH)6](OH)2 photoresist. After exposing the resist film to a low dose extreme ultraviolet radiation or electron beam, applying a post exposure bake step and development with isopropanol/H2O (2:1), a positive tone image is observed. The previously observed negative tone is found at higher doses. Atomic force microscopy and scanning electron microscopy were used to characterize the topography of the patterns. X-ray photoelectron spectroscopy was used to elucidate the chemical changes of the tin-oxo cages under different conditions. The photoresist, which has dual-tone property, paves the way to fabricate sophisticated structures in a single photoresist layer or may lead to metal-containing resists with improved sensitivity.
  • Seiji Takahashi, Hiroko Minami, Yoko Matsumoto, Yoichi Minami, Mikio K ...
    2018 年 31 巻 2 号 p. 257-260
    発行日: 2018/06/25
    公開日: 2018/09/05
    ジャーナル フリー
    In this study, we prepared the EUV metal resist, which included ZrO2 nano-particle and three type ligands as 2-methyl-2-propenoic acid (MAA), 2-methylpropanoic acid (IBA) and vinylbenzoic acid (VBA). Each resist was prepared by blending each material separately for evaluating the outgassing from EUV resist materials. We prepared three-type samples of ZrO2-MAA, ZrO2-IBA and ZrO2-VBA by coating each resist, and evaluated the outgassing from these samples during irradiation of 2 keV electron by in-situ mass spectrometry. From the results of mass spectrum, we could observe the outgassing of PAG as unique peaks. And each ligand peak was distributed over the low mass range. On the other hand, the ZrO2 peaks could not be observe in mass spectrum of each sample. Thus, we guess that ZrO2 nano-particles might not be evaporating during 2 keV electron irradiation.
  • Christopher K. Ober, Vasiliki Kosma, Hong Xu, Kazunori Sakai, Emmanuel ...
    2018 年 31 巻 2 号 p. 261-265
    発行日: 2018/06/25
    公開日: 2018/09/05
    ジャーナル フリー
    A prerequisite for good photoresists is high sensitivity, but unfortunately highly sensitive resists are usually accompanied by line roughness. Even if understanding what is causing roughness in resists were not completely in its infancy, we still have not achieved complete answers and effective solutions to these issues. Our group has been working with Zr-based and Hf-based resists almost one decade now and has shown that these resists have incredibly high sensitivity. At the same time, we have shown that these resists after development possess scumming issues and increased roughness. And while we have managed to deal with these scumming issues by employing a variety of strategies, we did not succeed in improving roughness; we have changed our processing method and decreased our size distribution to get a material with more well-defined structure compared to the starting material, but roughness remains. What we are investigating now, is whether a well-defined Zr-monocrystal cluster could be the answer to our problem.
  • Akihiro Miyauchi
    2018 年 31 巻 2 号 p. 267-270
    発行日: 2018/06/25
    公開日: 2018/09/05
    ジャーナル フリー
    Advances in rapid information technology make it possible to find scientific truths inductively in cyber-space, and this kind of research method is spreading worldwide as a fourth science. This is a method of deriving truth from enormous data and is a data driven type induction method. On the other hand, living things repeated enormous trial and error in the process of evolution, and species that acquired the optimum mechanism in the global environment at that time have been survived. Therefore, it can be interpreted that the functions of living matters are obtained by data-driven induction method, and biomimetics is nature-inspired inductive method. Biomimetics is positioned on the extension line of Materials Informatics which is currently being studied, and further combination with information technology is considered to be very important.
  • Kohei Goto, Jun Taniguchi
    2018 年 31 巻 2 号 p. 271-276
    発行日: 2018/06/25
    公開日: 2018/09/05
    ジャーナル フリー
    In this study, we focused on the fabrication of biomimetic structures using nanoimprint lithography (NIL). Biomimetic structures are an attractive approach to create functional products. Among the various biomimetic structures, we focused on rose petals for superhydrophobicity characteristics with high contact angles and the surface properties of the water droplets and shark skin for the reduction of fluid resistance. These bio-inspired molds were fabricated by electron beam lithography (EBL). Many of the biomimetic structures are micro- and nano-sized 3D structures; rose petals and shark skin also have fine-grained 3D structures. Dose modulated EBL is commonly used for the fabrication of 3D structures. On the other hand, our previous study revealed that precise depth control is possible by changing the acceleration voltage. Therefore, the 3D nanoimprint mold was fabricated using a combination of conventional dose modulated and acceleration voltage modulated EBL. As a result, bio-inspired 3D molds (rose petals and shark skin) were obtained. The depths of these molds could be controlled by the characteristics of the electron penetration depth. Using these molds, convex biomimetic structures were obtained by UV-NIL. In this study, the fabricated structures had steps. We believe that a smooth shape can be fabricated by using a various acceleration voltage. And we believe that this process, using acceleration voltage modulation EBL, can fabricate precise and complex nano-scale 3D mold structures.
  • Kyohei Hashiguchi, Kenta Suzuki, Hiroshi Hiroshima, Yasuhisa Naitoh, H ...
    2018 年 31 巻 2 号 p. 277-282
    発行日: 2018/06/25
    公開日: 2018/09/05
    ジャーナル フリー
    Nanogap electrodes are expected to aid in the study of the electrical properties of single molecules and nanoparticles, and have also been applied to non-volatile memory. However, an electrode that exhibits a large area and good reproducibility is yet to be found. We investigate the nanogap fabrication method combining UV nanoimprint lithography and electromigration. A three-layer lift-off process, using spin-on-glass as an intermediate layer with high etching selectivity, is evaluated. Nanowire array patterns are fabricated in a 9-mm square in the process, which demonstrate the nanogap characteristics of resistance switching effects.
  • Hiroki Ueda, Jun Taniguchi
    2018 年 31 巻 2 号 p. 283-288
    発行日: 2018/06/25
    公開日: 2018/09/05
    ジャーナル フリー
    Ultraviolet-nanoimprint lithography (UV-NIL) is a next-generation lithographic technology. However, its usefulness is negatively affected by the formation of an extra resin layer between the curable resin pattern and the substrate, which is known as the residual layer (RL). Liquid-transfer imprint lithography (LTIL) is a promising technique for reducing the RL. However, it is difficult to ensure a thin RL with LTIL when using a high viscous UV curable resin. A technique combining LTIL and roll press can be used to overcome this drawback. High viscous UV curable resins generally possess high selectivity for dry etching and can exhibit various refractive indices. In this study, master molds were prepared with a patterned design and varying line and space values. The pattern ratio was 1:2, and the line widths were 990 nm, 540 nm, and 270 nm. UV curable resins with viscosities of 2900 mPa·s and 13090 mPa·s could be used to transfer the line and space patterns of three nanoscale widths to eliminate the RL, by using a repeated roll-press and LTIL technique and parallel plate UV-NIL.
  • Kento Mizui, Kazuho Kurematsu, Shinya Nakajima, Makoto Hanabata, Satos ...
    2018 年 31 巻 2 号 p. 289-294
    発行日: 2018/06/25
    公開日: 2018/09/05
    ジャーナル フリー
    Nanoimprint lithography has recently attracted much attention in microfabrication technology due to its two benefits of high resolving power and decreased production cost. It is promising for the next generation microfabrication technology. However, voids generated between the master mold and transferred replica mold are one of the problems for nanoimprint lithography. We have been developing gas permeable molds derived from cellulose to reduce defects caused from these voids. This study demonstrates the applicability of gas permeable mold. Defects in line and space patterns of the UV-cross-linkable materials including 10 wt% of acetone, 10 wt% of 1-methoxy-2-propyl acetate (PGMEA) and 10 wt% of cyclopentane as volatile solvents were greatly eliminated by using a gas permeable mold. This approach is expected to expand the utility of non-liquid materials which need solvents that are currently not suitable for nanoimprint lithography.
  • Kenta Suzuki, Sung-Won Youn, Hiroshi Hiroshima
    2018 年 31 巻 2 号 p. 295-300
    発行日: 2018/06/25
    公開日: 2018/09/05
    ジャーナル フリー
    Bubble-free filling needs to be achieved to realize high-throughput mass production in ultraviolet nanoimprint lithography (UV-NIL). Polydimethylsiloxane (PDMS) is a gas-transmittable material because of its molecular features and is used as the base material for flexible molds in large-area UV-NIL. In this work, we investigated the filling behavior and mold release force of UV-NIL using a soft UV-curable PDMS mold in different atmospheres. Bubble-free filling was successfully demonstrated in air and 1,1,1,3,3-pentafluoropropane (PFP) gas atmosphere. The average release force following UV-NIL in PFP was 5.2 N, which was 70% smaller than that following UV-NIL in air. Defect-free fine nanopatterns with a width of 300 nm were fabricated by UV-NIL in PFP atmosphere.
feedback
Top