Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
Volume 32, Issue 2
Displaying 1-30 of 30 articles from this issue
  • Yuya Kitamura, Ayaka Sato, Hideyuki Nakano
    2019 Volume 32 Issue 2 Pages 185-188
    Published: June 24, 2019
    Released on J-STAGE: November 14, 2019
    JOURNAL FREE ACCESS

    The title compound, NO2-F2PA, exhibited solvatochromic emission, that is, the emission spectra of hexane and toluene were different from each other and the emission was quenched in THF, acetone, ethanol, and acetonitrile. In addition, the amorphous film of NO2-F2PA exhibited vapochromic emission. Reddish orange emission of the film at ambient atmosphere changed to yellowish green one upon exposure to hexane vapor and recovered to the original after drying. On the other hand, the emission of the film was quenched upon exposure to THF and ethanol vapors.

    Download PDF (595K)
  • Minoru Toriumi, Takayasu Kawasaki, Mitsunori Araki, Takayuki Imai, Koi ...
    2019 Volume 32 Issue 2 Pages 189-193
    Published: June 24, 2019
    Released on J-STAGE: November 14, 2019
    JOURNAL FREE ACCESS

    Ablation of polymer thin films for resists was studied using a mid-Infrared (IR) Free-Electron Laser (FEL). Irradiation fluence of the IR light in the mid-IR region (5.6 to 8.0 μm) to a thin film of poly(p-hydroxystyrene) (PHOST) on a silicon wafer increased to cause PHOST ablation. The ablated spot size was smaller than the irradiated area calculated by wave optics, because the ablation is a multiphoton absorption process. The ablation threshold energy was determined using the irradiation area calculated by the wave optics. The threshold energy depended upon the film thickness of PHOST and irradiation wavelength of FEL. The threshold energy of thin PHOST film with 61 nm increased at the wavelength of vibrational modes of phenyl ring. It associates the durability of phenyl ring to photon decomposition.

    Download PDF (1465K)
  • Junji Miyazaki, Anthony Yen
    2019 Volume 32 Issue 2 Pages 195-201
    Published: June 24, 2019
    Released on J-STAGE: November 14, 2019
    JOURNAL FREE ACCESS

    After years of efforts, extreme-ultraviolet (EUV) lithography reached a significant milestone in 2018: readiness for high-volume production of advanced semiconductor devices. A EUV source power of 250 W has been realized, providing a tool throughput capability exceeding 140 wafers per hour at a dose of 20 mJ/cm2. The full-wafer critical dimension (CD) uniformity is now less than 0.5 nm for multiple systems and matched-machine overlay is at 1.1 nm. These imaging and overlay performances meet the production requirements for the 5-nm node logic and 16-nm dynamic random access memory (DRAM) devices. Meanwhile, ASML continues to improve the performance of EUV exposure tools to obtain higher throughput, better image quality, and tighter overlay specifications, thereby further enhancing productivity and capability. Further improvements in resist and mask materials are required to extend EUV single-patterning to the low-k1 regime. Finally, ASML has begun to develop an EUV exposure system with a numerical aperture (NA) of 0.55 to enable continued scaling in semiconductor manufacturing beyond the next decade.

    Download PDF (1938K)
  • Seiya Masuda, Akira Yamauchi, Yu Iwai, Mitsuru Sawano, Kotaro Okabe, K ...
    2019 Volume 32 Issue 2 Pages 203-208
    Published: June 24, 2019
    Released on J-STAGE: November 14, 2019
    JOURNAL FREE ACCESS

    The wafer thinning process and making backside redistribution layer (RDL) process were key technologies for assembling 2.5D and 3D IC the low profile device manufacturing. It was widely studied about temporary bonding material (TBM) for those advanced device packaging. The key issues here were void free, bonding, thermal resistance without having delamination and defect free cleaning after debonding. To minimize the cost effective 3D IC manufacturing, we have developed single layer temperature bonding material designed for room temperature mechanical debonding process. The materials have a high thermal resistance over 230 ℃ for 4 hours without having any void formation, delamination and no residue on the device after solvent cleaning.

    Download PDF (1117K)
  • Haruhisa Nakano, Riyu Kato, Chifumi Kakami, Hiroaki Okamoto, Katsuyosh ...
    2019 Volume 32 Issue 2 Pages 209-216
    Published: June 24, 2019
    Released on J-STAGE: November 14, 2019
    JOURNAL FREE ACCESS

    The objective of this study was to develop biologically compatible resins with optimum safety profiles and physical properties that can be used for long periods inside the mouth, and to attempt to use these resins in a 3D printing process to produce direct aligners for use in mouthpiece orthodontics. Low toxicity water-soluble monomers (1M) were used to develop 3D printer-compatible biocompatible resins (polymers) that have no skin reactivity, carcinogenicity, or reproductive toxicity. Cytotoxicity testing (LDH test), proliferation testing (WST1 test), and mechanical testing were also performed. We attempted to use these resins to produce direct aligners. We successfully produced acrylic-epoxy hybrid light-curing resins that have optimal safety profiles composed of water-soluble monomers alone. The results of cytotoxicity testing and proliferation testing showed that all the water-soluble monomers used for 3D printing (3D-1M) exhibited low cytotoxicity, but the cell survival rates suggested that the composition ratio of the raw materials may be an issue. The results of mechanical testing demonstrated that the 3D-1M met the mechanical strength requirements for base polymers used in orthodontics. We successfully produced direct aligners using these biocompatible resins. However, their middle sections were fragile, suggesting that their physical properties must be improved if they are to be used in aligners. We intend to improve the mechanical properties of these monomers, and perform safety testing for approval as Class-II controlled medical devices.

    Download PDF (712K)
  • Arndt Hohnholz, Cemil Can, Stefan Kaierle, Ludger Overmeyer
    2019 Volume 32 Issue 2 Pages 217-221
    Published: June 24, 2019
    Released on J-STAGE: November 14, 2019
    JOURNAL FREE ACCESS

    Conventional stereolithography is limited to the processing of one material only and cannot be applied for novel multi-material fabrication techniques. We developed a new approach based on the aerosol jet system as a spray coating device for the generation of a liquid film. The integrated dual spray generator is able to deposit two pure materials and mixed material compositions. Hence, the process was executed iteratively with a liquid material layer generation with a thickness of 50 µm and a following UV laser direct write induced polymerization. We investigated the feasibility of model adaptive curing depth control to minimize the effect of overcuring by 62%. The spray coating-based printing opens a wide range of applications in the field of multi-material additive manufactured products.

    Download PDF (1154K)
  • Akira Watanabe, Mohammod Aminuzzaman, Jinguang Cai, Md. Akhtaruzzaman, ...
    2019 Volume 32 Issue 2 Pages 223-226
    Published: June 24, 2019
    Released on J-STAGE: November 14, 2019
    JOURNAL FREE ACCESS

    A conductive microstructure consisting of a reduced graphene oxide (rGO) and Cu was prepared by laser direct writing. A hybrid film on a polymer substrate was prepared from a water-dispersion mixture of graphene oxide (GO) and CuO nanorods (CuO NRs) by doctor blade method. A CW 405 nm blue-violet laser beam was scanned on the GO/CuO NRs hybrid film through an objective lens to prepare a microstructure of rGO/Cu on a flexible substrate. The reduction of CuO NRs to Cu was observed by micro-Raman spectroscopy. The surface resistivity of a laser scanned hybrid film was lowered with decreasing laser scan spacing. A hybrid film consisting of rGO/Cu microstructure showed a negative temperature coefficient of resistance (−1.18%/℃), which was extremely larger than those of usual carbon materials. Such characteristics can be applied to an IR photosensor.

    Download PDF (1137K)
  • Sungmin Park, Anna M. Smallwood, Chang Y. Ryu
    2019 Volume 32 Issue 2 Pages 227-232
    Published: June 24, 2019
    Released on J-STAGE: November 14, 2019
    JOURNAL FREE ACCESS

    Mechanical and thermal properties of stereolithography 3D-printed thermoset polymers have been investigated with an emphasis on understanding how the layer-by-layer printed morphology affects their physical properties. Due to the finite UV penetration depth into the photocurable resins in the stereolithography, the 3D-printed polymers resulted in periodic surface undulation. The length scale of the surface undulation periodicity is determined by the 3D printing processing parameter of slice thickness (Δt) and has a strong effects on the mechanical and thermal properties of the 3D-printed thermoset polymers. Upon decreasing the Δt from 200 µm to 50 µm, the 3D printing produces more frequent UV curing of crosslinked layers along the printing direction to result in the increase of Young’s modulus and the more pronounced high glass transition peak in dynamic mechanical analysis. However, when the Δt is further decreased to 25 µm, its Young’s modulus is lower than the 50 µm printed samples. This decrease is attributed to the weaker inter-layer crosslinking, when there are not enough less-cured monomers to promote the crosslinking between densely crosslinked layers during the successive layer-by-layer photopolymers in stereolithography.

    Download PDF (1172K)
  • Marco Sangermano
    2019 Volume 32 Issue 2 Pages 233-236
    Published: June 24, 2019
    Released on J-STAGE: November 14, 2019
    JOURNAL FREE ACCESS

    The paper reports important strategies to overcome limitation of cationic photopolymerization. First, it was possible to run emulsion cationic photopolymerization in water, taking the advantages of hydrophobic droplets of suitable dimension to avoid termination reaction, achieving capsules of about 200 nm. Subsequently a frontal polymerization reaction is used to promote the UV-induced crosslinking process of an epoxy composites via a radical induced cationic frontal polymerization.

    Download PDF (622K)
  • Haruyuki Okamura, Hideki Tachi
    2019 Volume 32 Issue 2 Pages 237-242
    Published: June 24, 2019
    Released on J-STAGE: November 14, 2019
    JOURNAL FREE ACCESS

    The fabrication of secondary patterns on UV-imprinted resins was successfully achieved using a reworkable monomer. A methacrylate monomer, which has both an epoxy moiety and a thermally-cleavable tertiary ester moiety in a molecule, was employed as the reworkable monomer. UV imprinting of the reworkable monomer was carried out in the presence of a photoradical initiator by irradiation at 365 nm to fabricate micrometer-order patterns. Secondary patterning was carried out using the patterned resin containing a photoacid generator by irradiation at 254 nm through a photomask followed by baking at 110 ℃ for 1 min without development. The pattern formation is due to the acid-catalyzed decomposition of the tertiary ester linkages in the cured reworkable monomer measured by in-situ FT-IR and mass measurements.

    Download PDF (729K)
  • Akira Takahashi, Taichi Watanabe, Shinji Ando, Atsushi Kameyama
    2019 Volume 32 Issue 2 Pages 243-247
    Published: June 24, 2019
    Released on J-STAGE: November 14, 2019
    JOURNAL FREE ACCESS

    Aromatic polyurethanes were prepared from aromatic diisocyanates and dialcohol, and their photoreaction properties and concomitant refractive index change was investigated. Time-course UV–vis spectroscopy of the polyurethanes films under 254 nm irradiation showed clear spectral transition with an isosbestic point, indicating that selective photoreaction proceeded. FT-IR spectra of the irradiated aromatic polyurethanes films showed a consistent change to the targeted photo-Fries rearrangement. Ellipsometry revealed refractive index increase up to +0.0411 after the irradiation of the films for 60 min, presenting the good potential of aromatic polyurethanes as the functional moieties toward various optical materials that require photo-modulation of refractive index.

    Download PDF (788K)
  • Masaya Takeuchi, Hirokazu Izumi, Mari Ishihara, Toshiro Kobayashi, Aki ...
    2019 Volume 32 Issue 2 Pages 249-252
    Published: June 24, 2019
    Released on J-STAGE: November 14, 2019
    JOURNAL FREE ACCESS

    A novel process was developed for fabricating a polytetrafluoroethylene (PTFE) thin film using synchrotron radiation (SR). First, a PTFE substrate was exposed to high-energy X-rays (2–8 keV) at room temperature. Afterwards, the PTFE substrate (target) was heated under atmospheric pressure and fragments desorbed from the surface deposited on a glass substrate to produce a film with a thickness of above 10 µm. The characterization of the chemical structure of the deposited film was carried out using X-ray diffraction (XRD). The results indicated that the crystalline structure of the film became closer to those of the PTFE substrate upon an increase in the X-ray irradiation of the sample. The fabrication process of this PTFE thin film could be applied to various fields because surface modification of the substrate can be easily carried out.

    Download PDF (966K)
  • Masaya Takeuchi, Akinobu Yamaguchi, Toshiro Kobayashi, Yuichi Utsumi
    2019 Volume 32 Issue 2 Pages 253-256
    Published: June 24, 2019
    Released on J-STAGE: November 14, 2019
    JOURNAL FREE ACCESS

    We demonstrate the modification of transmittance of bulk polytetrafluoroethylene (PTFE) via synchrotron X-ray irradiation. X-ray irradiation of the PTFE substrate is conducted to mechanically suppress the photoevaporation of PTFE molecules. This method drastically increases the ultraviolet and visible transmittance of the irradiated areas of the substrates, with greater than 80% transmittance observed at the 350-nm wavelength. We observed the irradiated area via scanning electron microscopy and determined that this optical property modification is due to the homogenization of the bulk PTFE texture with nanometer to micron-sized pores. We expect that this modified PTFE will be employed as a construction material for various micro system devices such as Lab-on-a-chip and micro total analysis systems.

    Download PDF (1783K)
  • Peng Hu, Junzhe Zhu, Ren Liu, Zhiquan Li
    2019 Volume 32 Issue 2 Pages 257-264
    Published: June 24, 2019
    Released on J-STAGE: November 14, 2019
    JOURNAL FREE ACCESS

    Exploration of straightforward synthetic strategies to construct efficient two-photon initiators (2PIs) containing a long conjugate length is essential to promote the development of two-photon photopolymerization (2PP). This paper described a series of ketocarbazoles with C=C bonds as π bridges, cyclic ketones as electron acceptors, and 9-alkyl substituted carbazoles as electron donors. The conjugated 2PIs were prepared straightforwardly via classical aldol condensation reaction and the impact of the central ring size on the photoactivity was systematically studied. The maximum absorption of the 2PIs was located at ~440 nm and good photobleaching behaviors were observed. The initiator with cyclopentanone held the largest two-photon absorption cross section of 377 GM. All the conjugated ketocarbazoles can be used as efficient 2PIs to induced 2PP and exhibited lower threshold energy compared to the widely used commercial photoinitiator TPO and the two- photon resists IP-L.

    Download PDF (807K)
  • Kunihiro Noda, Shun Kikuchi, Naohiko Ikuma, Dai Shiota, Masahiro Furut ...
    2019 Volume 32 Issue 2 Pages 265-270
    Published: June 24, 2019
    Released on J-STAGE: November 14, 2019
    JOURNAL FREE ACCESS

    Photobase generator is recently attracting more attention because it is freed from oxygen inhibition and metal corrosion, compared to photoacid generator and photo radical generator. 2-(9-Oxoxanthen-2-yl)propionic acid (OXTA) has an absorption in the range of i-line wavelength and it can form a salt with phosphazene base (P2tBu). It is photobase generator (PBG) to generate an organic superbase efficiently by UV irradiation. In this study, we synthesized a series of salts of OXTA and P2tBu (OXTA-P2tBu) at several anion-cation ratio. Then, we analyzed the PBG with each ratio by pH, UV absorption and ESR, and calculated OXTA-P2tBu complex by (TD)B3LYP/6-31+G(d,p) level. The crystal of OXTA-P2tBu synthesized at optimum ratio was analyzed by single crystal X-ray diffraction and we described the crystal structure. Furthermore, we obtained nega-type pattern with polysilane solution containing OXTA-P2tBu in the optimum ratio.

    Download PDF (923K)
  • Yuki Seki, Kazuki Matsunaga, Yuting Ke, Toma Takebayashi, Masaya Ninoy ...
    2019 Volume 32 Issue 2 Pages 271-278
    Published: June 24, 2019
    Released on J-STAGE: November 14, 2019
    JOURNAL FREE ACCESS

    Dipropylheterocoerdianthrone (DPHCD) was found to be a potential photo-reactive dispersant of single-walled carbon nanotubes (SWNTs). DPHCD was able to well disperse SWNTs in chloroform. DPHCD is easily photo-oxidized by the irradiation of visible light to form the endoperoxide with a bent structure under oxygen or air atmosphere. Then, SWNTs were photo-precipitated from the DPHCD/SWNTs dispersion. The precipitation was able to disperse again after the photochromic reaction to turn back DPHCD from the endoperoxide and sonication. Such photo-induced precipitation was also observed in DPHCD/SWNTs complex film. The SWNTs precipitates were analyzed by FT-IR and Raman spectra measurements, and discussed about selectivity of DPHCD on chirality, and elimination of the dispersant.

    Download PDF (1028K)
  • Hiroyuki Mayama, Tomoki Nishino, Atsushi Sekiguchi, Ryo Nishimura, Kin ...
    2019 Volume 32 Issue 2 Pages 279-285
    Published: June 24, 2019
    Released on J-STAGE: November 14, 2019
    JOURNAL FREE ACCESS

    Wetting in Cassie-Baxter state on multi-pillar and multi-hole surfaces is discussed in terms of Gibbs free energy. To discuss thermodynamic stability, free-energy differences before and after the wetting are formulated. However, no difference between the Cassie-Baxter states on multi-pillar and multi-hole surfaces is found. Furthermore, Laplace pressure generated by pillars or by a hole is discussed to find the conditions to prevent the penetration of water into the space between the pillars and the hole. As a result, it is predicted the condition in which multi-hole surface easily generates higher Laplace pressure than multi-pillar surface and easy to prevent the penetration. In other words, it is found that the multi-hole surface is a promising way to generate the Cassie-Baxter state.

    Download PDF (846K)
  • Takahiko Hariyama, Yasuharu Takaku, Chiaki Suzuki, Sayuri Takehara, Sa ...
    2019 Volume 32 Issue 2 Pages 287-290
    Published: June 24, 2019
    Released on J-STAGE: November 14, 2019
    JOURNAL FREE ACCESS

    Scanning electron microscopy (SEM) has made remarkable progress and has become an essential tool for observing biological materials at microscopic level. However, various complex procedures have precluded observation of living organisms to date. Here, a new method coined the “NanoSuit®” is presented by which living organisms can be observed by an SEM. A simple surface modification to extracellular substances (ECS) of the certain multicellular organisms by electron beams or plasmas can coat of thin polymer membrane made of ECS. The “NanoSuit®” acts as a barrier to the passage of gases and liquids and thus protects the organism. Based on this discovery, we invented the coating method by the “biomimetic ECS” composed of biocompatible substance for the organism which lack the natural ECS. The new “NanoSuit®” methods will be useful for numerous applications, particularly in the life sciences.

    Download PDF (733K)
  • Yoshihiro Uozu
    2019 Volume 32 Issue 2 Pages 291-294
    Published: June 24, 2019
    Released on J-STAGE: November 14, 2019
    JOURNAL FREE ACCESS

    Moth-eye surfaces can prevent reflection with minute unevenness structure of nanometer size. A nano-imprinting process, which generates minute patterns of polymers using a mold, is a promising candidate for a high-throughput patterning process. In the present report, first of all, I describe the nano-imprinting processes based on the highly ordered anodic porous alumina. Anodic porous alumina, which is formed by the anodization of Al in acidic solution, is a typical naturally occurring ordered material. The anodic porous alumina can be formed even on the curved surface. We have been researching a continuous manufacturing process of the moth-eye surfaces on polymer films with the roll molds. Second, I mention optical characteristics of the moth-eye surfaces. Third, I report multi-functionalities of the moth-eye surfaces.

    Download PDF (1092K)
  • Mariko Miyazaki, Hiroshi Moriya, Akihiro Miyauchi
    2019 Volume 32 Issue 2 Pages 295-301
    Published: June 24, 2019
    Released on J-STAGE: November 14, 2019
    JOURNAL FREE ACCESS

    While the hydrodynamic function of sharkskin has been controversially hypothesized to be capable of achieving turbulent flow control and drag reduction, sharkskin-inspired “riblets” have been reported to be an effective biomimetic design (BMD). Furthermore, denticles have been found to not only improve fluid properties but also provide an antibacterial effect. We address an integrated study of developing biomimetic riblets inspired by the antibacterial properties of sharkskin denticles. The covering ratio of bacteria on samples with BMD structures was evaluated to confirm the effect of the antibacterial property. Our results indicate that as the non-uniformity of the grooves increases, the bacteria adhering to the surface is removed due to the effect of the longitudinal vortex generated on the sharkskin, and the growth of the biofilm is suppressed.

    Download PDF (1501K)
  • Takayuki Murosaki, Kentaro Abe, Yasuyuki Nogata, Yuji Hirai
    2019 Volume 32 Issue 2 Pages 303-308
    Published: June 24, 2019
    Released on J-STAGE: November 14, 2019
    JOURNAL FREE ACCESS

    Marine sessile organisms easily adhere to submerged surfaces (e.g., rocks, metals and plastics), and cause serious economic problem. Previously, tributyltin (TBT) has been used widely as antifoulant to inhibit the fouling by sessile organisms. However, TBT was banned to use globally due to its high endocrine disruption effects against marine organisms. Recently, antifouling activities of microstructured surfaces against marine sessile organisms have attracted attention. In this study, we prepared honeycomb patterned microstructured surfaces with different geometric parameters, and investigated the relationship between barnacle settlement and geometric parameters of microstructured surfaces. The results found the number of settled barnacles increased with the increasing of roughness factor of the microstructured surfaces with shallow pits. However, the number of settled barnacles was few without dependence of roughness factor of the microstructured surfaces with deep pits.

    Download PDF (1075K)
  • Minori Furusawa, Kazuki Maeda, Seiji Azukizawa, Hayato Shinoda, Fujio ...
    2019 Volume 32 Issue 2 Pages 309-313
    Published: June 24, 2019
    Released on J-STAGE: November 14, 2019
    JOURNAL FREE ACCESS

    Recently, many researches on biomimetics have been reported, in which soft motions of natural creatures have also been targeted. Among them, cilia are attracting natural soft organ, which is an effective fluidic system in the natural world. Cilium is a simple hair-like organ; however, it works in a non-simple way. For example, beating pattern of natural cilium consists of 2 types of different stroke patterns; effective stroke and recovery stroke. We focused on a cilium as our target as a simple cantilever of a soft elastic material. We have already developed artificial cilia with magnetic elastomers. In this research, we compared cantilever beams with soft- and hard- magnetic particles. In this paper, we performed 2 experiments to compare the characteristics of cantilevers with 2 types of magnetic powders. In the first experiment, we utilized neodymium magnets that could be controlled the angle in order to observe the motion of beams in the static state. The latter one, we actuated beams in rotating magnetic fields to obtain dynamic behavior of an artificial cilium. As a result, we showed some differences between soft- and hard- magnetic materials.

    Download PDF (976K)
  • Kazuki Tokumaru, Kazuhiro Yonekura, Fujio Tsumori
    2019 Volume 32 Issue 2 Pages 315-319
    Published: June 24, 2019
    Released on J-STAGE: November 14, 2019
    JOURNAL FREE ACCESS

    In this research, we propose an in-plane compression imprint method as a further development of the special micro patterning method to realize various biomimetic functional surfaces. Biomimetic is popular in the field of engineering in recent years. We focused on biomimetic functional surfaces of natural organisms. For example, micro scales on the wing of a morpho butterfly develop a bright blue color. The scales have specific dendrite-like nano- structures. We aim to mimic such functional surfaces by nano imprint lithography (NIL). NIL has high resolution and high productivity, and is known as a technology that can be applied to various materials. Conventional NIL requires mold release in the process, so it is difficult to form special shapes such as the overhang shape. To solve this problem, we propose an in-plane compression process. In our new process, work materials were imprinted on a stretched silicone film, and imprinted materials were compressed by in-plane compressive stress due to the elastic recovery of the silicone film. In this paper, we show some examples increasing the aspect ratio more than twice by the proposed process. We also show a strategy to form a complicated morpho’s surface pattern with an overhang structure having a high aspect ratio.

    Download PDF (1186K)
  • Masahiko Harumoto, Julius Joseph Santillan, Chisayo Nakayama, Yuji Tan ...
    2019 Volume 32 Issue 2 Pages 321-326
    Published: June 24, 2019
    Released on J-STAGE: November 14, 2019
    JOURNAL FREE ACCESS

    The application of alternative developers for both extreme ultraviolet (EUV) and 193-nm immersion (“ArFi”) lithography is investigated by focusing on their effects on the “Defect-Not-Found” (DNF) margins. In case of EUV lithography, defects primarily comprise line bridging at the underdose region and line breaks at the overdose region. The application of a 0.26-N tetrabutylammonium hydroxide (TBAH) developer solution when compared to that of a 0.26-N tetramethylammonium hydroxide (TMAH) developer solution resulted in improved resist sensitivity while maintaining the same DNF margin. In case of ArFi lithography, defects primarily comprise line bridging at the underdose region and pattern collapse at the overdose region. The same improvement that has been mentioned above can be observed with respect to the resist sensitivity using the TBAH developer solution. However, the TBAH developer solution significantly minimizes the pattern collapse at the overdose region, further extending the minimum line pattern size. This translated to significant improvements in both the exposure latitude (EL) and overdose margin (OM) and resulted in a significant increase in the DNF margin. Furthermore, the application of a non-ionic surfactant type additive on the TMAH developer solution demonstrates resist sensitivity improvement and pattern collapse mitigation. Increased EL and OM values were observed, which also resulted in increased DNF margin. Results indicate the advantages of utilizing alternative developer solutions in improving the DNF margins.

    Download PDF (1418K)
  • Jun Tanaka, Takuma Ishiguro, Tetsuo Harada, Takeo Watanabe
    2019 Volume 32 Issue 2 Pages 327-331
    Published: June 24, 2019
    Released on J-STAGE: November 14, 2019
    JOURNAL FREE ACCESS

    The resist development is a critical issue in EUV lithography for high volume manufacturing of semiconductor devices. Especially, the resist should have low line-width roughness (LWR) performance in fine patterning. To reduce the LWR, it is significant to control and reduce the stochastic behavior in the resist material. We employed the resonant soft X-ray scattering (RSoXS) method to evaluate the non-uniformity of the resist material components such as base polymer, functional group, and photo-acid generator and so on. In RSoXS method, the soft X-ray scattering intensity from the resist is recorded by a CCD camera, which the incident photon energy irradiated to the resist sample was varied around the carbon absorption K-edge of 284 eV. Around the carbon absorption edge, the absorption spectrum had resonant absorption peaks that depended on the chemical bonding structure of carbon. The scattering signal profile of a commercial chemical-amplified resist was different at each absorption peaks, which indicated non-uniformity of resist material. Thus, the non-uniformity of the resist material was measured by RSoXS method, which was important for development of low LWR resist.

    Download PDF (906K)
  • Takuma Ishiguro, Jun Tanaka, Tetsuo Harada, Takeo Watanabe
    2019 Volume 32 Issue 2 Pages 333-337
    Published: June 24, 2019
    Released on J-STAGE: November 14, 2019
    JOURNAL FREE ACCESS

    In the advanced lithography, the pattern collapse is significant issue. Since the resist pattern collapse origin to the surface force of the rinse solvent such as ultra-pure deionized water, pattern strip and pattern collapse occur easily when the resist aspect ratio exceeds two. The pattern strip and pattern collapse occur near or at the bottom layer and of a resist inside the resist film, respectively. Thus, the layer analysis inside the resist is significant. The layer separation analysis inside the resist film is very difficult by the X-ray reflectivity method because the layer separation contrast is very small using hard X-ray. Therefore, the resonant soft X-ray reflectivity (RSoXR) method was utilized for the layer separation of the resist film. A commercial chemical-amplifier resist was employed as a sample to in this study. Around carbon absorption edge region of 284 eV, optical index will depend on chemical-bonding structure of the resist strongly. The separated-layer structure was clearly analyzed at 287.1 eV. The resist had 5 nm and 6 nm separated layer at the top and the bottom position.

    Download PDF (1086K)
  • Masaaki Yasuda, Masanori Koyama, Kosai Fukunari, Masamitsu Shirai, Hir ...
    2019 Volume 32 Issue 2 Pages 339-343
    Published: June 24, 2019
    Released on J-STAGE: November 14, 2019
    JOURNAL FREE ACCESS

    A computational study of the pattern formation process for chemically amplified resists in extreme ultraviolet (EUV) lithography has been performed using the stochastic approach. The initial structures of the resist molecules are formed by sequential bonding of randomly arranged monomers. The effect of EUV light exposure is introduced by activation of acid generators according to the deposited energy distribution in the resist, which is calculated by Monte Carlo simulation of photoelectron scattering. Acid diffusion and the polymer deprotection reactions during the post-exposure bake (PEB) are then simulated. Development of the resist is modeled by removal of the polymers with relatively large conversion ratio from the resist. The dependence of the resist molecular weight, EUV exposure, and PEB conditions on the pattern shapes are demonstrated by the simulation.

    Download PDF (1053K)
  • Akio Misaka, Daiki Sugihara, Kousuke Sato, Masaru Sasago, Yoshihiko Hi ...
    2019 Volume 32 Issue 2 Pages 345-353
    Published: June 24, 2019
    Released on J-STAGE: November 14, 2019
    JOURNAL FREE ACCESS

    A novel technology to create 3D shape image with single exposure to single mask will be presented. The mask is a general mask available for the conventional lithography, but its pattern layout is calculated based on the theory of the built-in lens mask (BILM) technology and totally different from the target object shape. The technology creates the same image as a projection exposure lithography creates just by exposing the mask, because a function performed by lens and mask is embedded into the mask pattern. We will also introduce a concept of the virtual exposure system by which 3D image can be easily designed. BILM covers functions performed by the virtual exposure system, too. We will demonstrate that BILM can create a clear 3D image using examples applying it to i-line proximity exposure through the optical lithography simulation.

    Download PDF (1828K)
  • Hiroshi Yamaoka, Julius Joseph Santillan, Nobutaka Uemori, Toshiro Ita ...
    2019 Volume 32 Issue 2 Pages 355-360
    Published: June 24, 2019
    Released on J-STAGE: November 14, 2019
    JOURNAL FREE ACCESS

    The patterning characteristics of a variety of resist material platforms were investigated using a KrF laser ablation process. The results showed that a fullerene-based resist material with a 170-nm film thickness is capable of sub-micron resolution up to 0.8 μm 1:1 lines and spaces (L/S). Moreover, using a novolac-based resist material, the dependence of laser ablation patterning performance on the resist process conditions was determined. An increase in the total exposure energy (exposure energy per pass × total number of passes) is necessary as the film thickness increases. Moreover, using the same novolac-based resist material fixed at a 5-μm film thickness, results suggested that exposure energy has a more significant impact on the effective formation of laser ablation patterns (compared to number of exposure passes). Finally, preliminary investigations using a metal resist (at a 90-nm film thickness) resulted in resolution capabilities up to 2 μm 1:1 L/S at a relatively lower total exposure energy. This showed the potential of the metal resist material for application in laser ablation patterning.

    Download PDF (2693K)
  • Choong-Bong Lee, James Park, Charlotte Cutler, Jason DeSisto, Rochelle ...
    2019 Volume 32 Issue 2 Pages 361-366
    Published: June 24, 2019
    Released on J-STAGE: November 14, 2019
    JOURNAL FREE ACCESS

    Utilizing Line and Space (L/S) application on high resolution patterning to critical dimension (CD) below 42nm line thru typical ArF PTD process sees severe problems of trade-off or lack of correlation among linewidth roughness (LWR) and dose response (Esize), which are critical performers for delivering decent patterning ability toward Multiple Patterning Process (MPP). For improving those critical functional performances and reducing those trade-off, it has been carried out a study of various types of Quencher-functional component (QFC) as acid diffusion controller with understanding different gradients in resist matrix and those working models. When incorporated with more surface-active type or not-uniformed distribution type of QFC, it showed higher LWR at 45nm half pitch and all pattern fallen at both 38nm and 37nm half pitch. For the case of QFC having homogeneous gradient or less distribution to surface, it showed more contributions to control of roughness at exposed edge area on line patterns, and extended its functionality to the improvement at 37nm HP. For those different types of QFC as acid diffusion controller, they showed all different level of contribution to LWR and Esize response at 45nm HP to 37nm HP, and followed different efficiency with various concentrations in resist formulation.

    Download PDF (1311K)
feedback
Top