Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
Volume 5, Issue 1
Displaying 1-23 of 23 articles from this issue
  • TADAHIRO TAKIGAWA
    1992 Volume 5 Issue 1 Pages 1-8
    Published: 1992
    Released on J-STAGE: August 01, 2006
    JOURNAL FREE ACCESS
    A quarter micron lithography (0.25-0.2μm) required for 256M DRAM fabrication is discussed. KrF excimer laser lithography combined with a phase-shifting mask (PSM), optics innovation such as an annular illumination technology, chemically amplified resist material, and resist process improvement will make possible the fabrication of a 0.25μm pattern. Reflection type system with an ArF excimer laser source is attractive for an about 0.2μm pattern fabrication. Electron beam (EB) lithography is especially important for 256M DRAM class reticle production. EB direct writing has become an important technology for the device development stage. X- ray lithography might be the next generation technology for a less than 0.2μm region.
    Download PDF (304K)
  • A. E. Novembre, J. M. Kometani, W. W. Tai, E. Reichmanis, L. F. Thomps ...
    1992 Volume 5 Issue 1 Pages 9-15
    Published: 1992
    Released on J-STAGE: August 01, 2006
    JOURNAL FREE ACCESS
    Partial deprotection of copolymers of 4-t-butoxycarbonyloxystyrene (TBS) and sulfur dioxide (SO2) during the process prebake step is used to improve the x-ray lithographic performance of this resist. For a 2.6:1 TBS:SO2 single component chemically amplified resist, removal of>50 but <90% of tert-butoxycarbonyl protecting group during prebake improves the x-ray (14Å) sensitivity from a value of 50 to 15mJ/cm2. Partial deprotection during prebake is shown to minimize the film loss observed after the postexposure baking step. At 50% deprotection, the percent film loss in the exposed resist film areas is reduced from 33 to 12%. Greater percentages of resist deprotection are possible but at a consequence of reduced process control.
    Download PDF (256K)
  • J.M.J. Frchet, J. Fahey, S. M. Lee, S. Matuszczak, Y. Shacham-Diamand, ...
    1992 Volume 5 Issue 1 Pages 17-29
    Published: 1992
    Released on J-STAGE: August 01, 2006
    JOURNAL FREE ACCESS
    Several new polymers, copolymers, and polyfunctional crosslinkers that can be used to formulate chemically amplified resist materials have been prepared, characterized, and tested in combination with suitable photoactive compounds. All of the materials operate on the basis of acid catalyzed processes involving alkylation, dehydration, or isomerization reactions. Sensitivities below 0.1mJ/cm2 have been measured with deep-UV irradiation, while most of the materials also operate very effectively with E-beam or X-ray exposure. The resist materials are useful in dry development and top-surface imaging processes. Depending on the nature of the matrix polymer and the design of the resist material, both positive and negative tone images can be obtained. Dry development using an oxygen plasma can be achieved following selective silylation of the resists after exposure and post-baking. Those areas of the films that are silylated resist etching by the oxygen plasma providing access to high resolution images that also benefit from the high sensitivity of the chemically amplified resists.
    Download PDF (546K)
  • MINORU TSUDA, RIEKO ICHIKAWA, SETSUKO OIKAWA
    1992 Volume 5 Issue 1 Pages 31-34
    Published: 1992
    Released on J-STAGE: August 01, 2006
    JOURNAL FREE ACCESS
    Download PDF (147K)
  • NIGEL P. HACKER, DONALD C. HOFER, KEVIN M. WELSH
    1992 Volume 5 Issue 1 Pages 35-46
    Published: 1992
    Released on J-STAGE: August 01, 2006
    JOURNAL FREE ACCESS
    Photolysis of triphenylsulfonium salts in solution or polymer films gives 2-, 3- and 4-phenylthiobiphenyl isomers by an in-cage reaction, whereas diphenylsulfide and other aromatic photoproducts are formed by cage-escape reactions with solvent. The cage and escape reactions both generate acid, which is the primary initiator for many chemically amplified resists. Photo-CIDNP has been used to characterize the cage and escape reactivity of radical intermediates formed from photolysis of triphenylsulfonium salts. In addition nanosecond laser flash photolysis studies have found key intermediates in the direct and triplet sensitized photolysis of these salts. The photophysics of a number of aromatic polymers was examined to understand how the polymer participates in the photoinitiation process. The polymer fluorescence was quenched by sulfonium salts in solution by a dynamic mechanism, whereas in polymer films the quenching was by a static mechanism. Fluorescence lifetimes for the polymers, estimated from the quenching plots in solution, were relatively short, 4-8 nsec and the values agreed well with those obtained by time-resolved spectroscopy.
    Download PDF (419K)
  • YASUNOBU ONISHI, NAOHIKO OYASATO, HIROKAZU NIKI, RUMIKO HORIGUCHI HAYA ...
    1992 Volume 5 Issue 1 Pages 47-54
    Published: 1992
    Released on J-STAGE: August 01, 2006
    JOURNAL FREE ACCESS
    The dissolution inhibiting properties of partially t-butoxycarbonylmethylated poly(4-vinylphenol) (BOCM-PVP) have been investigated. The dissolution rate of BOCM-PVP in the exposed area increase extremely after post exposure baking. It is found that hydrogen bonded phenol catalyzed the thermal decomposition of BOCM- PVP. A deep UV resist consisting of onium salt and BOCM-PVP produces high resolution positive patterns.
    Download PDF (248K)
  • GEORG PAWLOWSKI, KLAUS-JÜRGEN PRZYBILLA, WALTER SPIESS, HORST WEN ...
    1992 Volume 5 Issue 1 Pages 55-66
    Published: 1992
    Released on J-STAGE: August 01, 2006
    JOURNAL FREE ACCESS
    The chemistry and some performance aspects of a novel chemically amplified positive tone photoresist system sensitive to KrF excimer laser radiation is described. The material consists of three components: an α, α-bisarylsulfonyl diazomethane as the photoacid generator (PAG), an oligomeric N, O-acetal as the acid sensitive dissolution inhibitor (DI), and a poly(vinylphenol) as the hydrophilic matrix resin (MR). The material combines high photospeed through the chemical amplification mechanism with some properties of the conventional dissolution inhibition resist systems, such as standard processing and development conditions, excellent resolution, good contrast, favorable plasma etch stability, and easy stripping. Problems arising from the concept of chemical amplification, viz., delay time stability, formation of T-tops, and linewidth variation upon extended storage intervals are discussed, and first measures to their suppression will be presented. Some micrographs demonstrate the outstanding pattern quality of this newly developed resist material.
    Download PDF (820K)
  • KWANG-DUK AHN, JONG-HEE KANG, SEONG-JU KIM, BYUNG-SUN PARK, CHAN-EON P ...
    1992 Volume 5 Issue 1 Pages 67-77
    Published: 1992
    Released on J-STAGE: August 01, 2006
    JOURNAL FREE ACCESS
    t-BOC protected hexakis(4-t-BOC-phenoxy)cyclotriphosphazene, TBP, was synthesized from a semiinorganic phosphazene compound and its acid-catalyzed thermal deprotection was utilized in the design of a three-component positive resist system based on the combined principles of chemical amplification and dissolution inhibition. The new resist system, PTPNS(NR/TBP/PAG), is formulated with novolac resin (NR), TBP and a photoacid generator (PAG). The dissolution characteristics of PTPNS in alkaline development are ideally suited for application to positive type resists which are based on a dissolution inhibition mechanism. The t-BOC protected TBP of the PTPNS resist system effectively acts as an acid-labile dissolution inhibitor of novolac resin when TBP concentration is more than 10% by weight. As a representative, PTPNS(100/15/5) (by weight parts) exhibited high sensitivity in the range of 20 to 25mJ/cm2 with contrast of 5 after exposure at 250nm light and PEB treatment at 100 to 130°C. Appropriately formulated PTPNS resist rendered positive-tone patterns down to sub-half micron with high sensitivity when the resist was exposed to KrF excimer laser or electron beam and developed with 2.38wt% tetramethylamonium hydroxide solution.
    Download PDF (454K)
  • Makoto Murata, Eiichi Kobayashi, Mikio Yamachika, Yasutaka Kobayashi, ...
    1992 Volume 5 Issue 1 Pages 79-84
    Published: 1992
    Released on J-STAGE: August 01, 2006
    JOURNAL FREE ACCESS
    A chemically amplified, positive-working resist system based on silylated Polyhydroxystyrene has shown its potential ability for application to the quarter micron lithography. The present paper describes the recent improvement on resist performance achieved through studies on effects of polymer characteristics and process conditions. Especially reported is the methods for avoiding the T-shaped pattern profile which is often observed for many chemically amplified, positive- working resist systems.
    Although the development is still on the way, the silylated polyhydroxystyrene resist shows excellent properties on resolution capability, sensitivity and process latitude.
    Download PDF (269K)
  • KLAUS-JÜRGEN PRZYBILLA, HORST RÖSCHERT, GEORGI PAWLOWSKI
    1992 Volume 5 Issue 1 Pages 85-92
    Published: 1992
    Released on J-STAGE: August 01, 2006
    JOURNAL FREE ACCESS
    Some recent investigations on hexafluoroacetone (HFA) have revealed that this reagent offers a variety of interesting aspects and potential applications for new photoresist products. The 2- hydroxyhexafluoroisopropyl group (HHFIP), obtained via condensation reaction of HFA with aromatic compounds, exhibits properties comparable to phenolic groups with respect to reactivity and acidity. This allows transfer of a variety of chemistries presently exclusively linked to phenol-type compounds, such as reversible blocking, to HHFIP substituted compounds, resulting in alkali-soluble matrix resins, polymer functional group deprotection materials, or dissolution inhibition, and crosslinking type materials. In contrast to phenols, the electron density of the aryl group is reduced due to the electron-withdrawing properties of the HHFIP group, resulting in materials with significantly changed reactivities of the aromatic moieties.
    Download PDF (436K)
  • SHOUICHI UCHINO, TOSHIHIKO TANAKA, TAKUMI UENO, TAKAO IWAYANAGI, NOBUA ...
    1992 Volume 5 Issue 1 Pages 93-99
    Published: 1992
    Released on J-STAGE: August 01, 2006
    JOURNAL FREE ACCESS
    A photosensitive material called MRI consisting of an aromatic azide (4, 4′-diazido-3, 3′-dimethoxybiphenyl) and a novolak resin has been prepared and evaluated as a negative resist for i-line phase-shifting lithography. Due to the high transparency of this material (80%/m) at the i-line (365nm) and its high resist contrast (γ=4) 0.3-μm line-and-space patterns with a steep wall profile could be created by using i-line stepper with a phase-shifting mask. Groove patterns with a resolution of 0.13-μm were obtained by using an edge-type phase-shifting mask.
    Download PDF (287K)
  • D. R. McKean, W. D. Hinsberg, T. P. Sauer, C. G. Willson
    1992 Volume 5 Issue 1 Pages 101-109
    Published: 1992
    Released on J-STAGE: August 01, 2006
    JOURNAL FREE ACCESS
    Alkylated Poly(4-hydroxystyrene) copolymers have been prepared and evaluated for use in deep-uv lithography. These materials have been modified to lower the dissolution rates of poly(4-hydroxystyrene) while maintaining the other desirable properties such as optical absorbance, glass transition temperature, and etch resistance. Lower dissolution rates make these polymers more attractive for application in dissolution inhibition resist schemes. The application of these materials to resists employing diazo compound inhibitors and three component resists using acid photogenerators and acid-sensitive dissolution inhibitors is described.
    Download PDF (345K)
  • G. M. Wallraff, R. D. Miller, M. Baier, E. J. Ginsburg, R.R. Kunz
    1992 Volume 5 Issue 1 Pages 111-121
    Published: 1992
    Released on J-STAGE: August 01, 2006
    JOURNAL FREE ACCESS
    A series of sensitizing additives for polysilane bilayer photoresists have been identified. These compounds effectively quench the fluorescence of aromatic polysilanes and greatly increase the rate of photooxidation and spectral bleaching in the solid state. Resists formulated with sensitizers such as phthalimidotriflate show increased photospeed in imaging experiments at both 248 and 193nm. Characteristics of the resist reactions are described.
    Download PDF (502K)
  • Hiroshi ITO
    1992 Volume 5 Issue 1 Pages 123-140
    Published: 1992
    Released on J-STAGE: August 01, 2006
    JOURNAL FREE ACCESS
    Poly(p-vinylbenzoic acid) and its ester are opaque below 300nm and therefore useful in deep UV top surface imaging (TSI), which is a viable technique to overcome the shallow depth of focus and topography effects. In this paper are described two TSI systems utilizing the benzoic acid derivatives in the chemical amplification scheme. The first system is a single-layer negative TSI based on gas-phase silylation of poly(t-butyl p-vinylbenzoate) resist. The second case is the use of poly(p-vinylbenzoic acid) as a strippable bottom layer in conjunction with a thermally-developable, oxygen RIE barrier resist, which comprises an all-dry bilayer, positive TSI system.
    Download PDF (719K)
  • Takahiro Matsuo, Masayuki Endo, Kazuhiko Hashimoto, Masaru Sasago, Nob ...
    1992 Volume 5 Issue 1 Pages 141-148
    Published: 1992
    Released on J-STAGE: August 01, 2006
    JOURNAL FREE ACCESS
    Silylation process is one of the most attractive surface imaging techniques for deep-UV lithography. We demonstrate the quarter micron pattern fabrication by using KrF excimer laser (248nm) lithography with surface imaging such as silylation process. Furthermore, by combining silylation process with the phase-shifting mask, it can be expected that the excellent resolution and focus latitude are obtained. In fact, experimental results exhibit below quarter micron pattern resolution with large depth of focus. The developed surface imaging technology is very promising for the fabrication of 256MDRAM.
    Download PDF (266K)
  • Kazunori Kato, Masatoshi Kusama, Masahiro Takagi, Kazuo Taira, Toshihi ...
    1992 Volume 5 Issue 1 Pages 149-156
    Published: 1992
    Released on J-STAGE: August 01, 2006
    JOURNAL FREE ACCESS
    We have reported that process condition such as presilylation time and silylation temperature could control a pattern profile.1-3 In this paper, we describe that resist material such as base resin could also influence a pattern profile. Additionally, it is shown that a resist material could be modified for application to excimer laser lithography.
    Download PDF (382K)
  • Siddhartha Das
    1992 Volume 5 Issue 1 Pages 157-168
    Published: 1992
    Released on J-STAGE: August 01, 2006
    JOURNAL FREE ACCESS
    Surface-imaged resist (SIR) technology is a potentially attractive scheme for deep submicron lithography to overcome depth of focus (DOF) limitations in high NA steppers and increased resist absorption at lower wavelengths. However, the degree of process complexity is greater than with conventional resist processes. Specifically, understanding the resist interaction with silylation and plasma etch processes is of critical importance for deriving the full benefits of this technology. This requires optimizing both the resist chemistry for high silylation contrast, and the etch process to minimize mask erosion and "grass" formation. The present study investigates the silylation characteristics of commercially available resist materials and the pattern transfer fidelity using two novel etchers-magnetically confined reactor (MCR) in a triode configuration and electron cyclotron resonance etcher (ECR). Key plasma parameters which have a major effect on the pattern transfer in each type of etcher have been identified. Feasibility of sub-0.5 micron resolution with minimal residue formation have been successfully demonstrated in both etchers.
    Download PDF (592K)
  • Yasuhiro Yoshida, Hirofumi Fujioka, Tetsuro Hanawa, Shinji Kishimura, ...
    1992 Volume 5 Issue 1 Pages 169-172
    Published: 1992
    Released on J-STAGE: August 01, 2006
    JOURNAL FREE ACCESS
    Download PDF (149K)
  • KENJI KURIHARA
    1992 Volume 5 Issue 1 Pages 173-180
    Published: 1992
    Released on J-STAGE: August 01, 2006
    JOURNAL FREE ACCESS
    A two-mirror telecentric optics has been designed for soft X-ray reduction lithography with high throughput. A resolution of 0.1μm is achieved at a wavelength of 130Å with a 20×20mm field using a ring-field scanning method. In the design, aberrations including distortion are reduced using aspherical concave and convex mirrors at a numerical aperture of 0.07. The designed optics achieves a square wave modulation transfer function (MTF) value of over 50% at a spatial frequency of 5000 lines/mm and is capable of resolving 0.1-μm lines and spaces. The depth of focus is ±1μm. The distortion is less than 0.01μm in the 12.5-mm-radius ring image field. Furthermore, uniform ring shaped illumination for the ring field is achieved by using focusing toroidal mirrors.
    Download PDF (339K)
  • ACID CATALIZED CONYERSION OF POLY(DI-t-BUTOXYSILOXANE) INTO GLASS
    MIWA SAKATA, TOSHIO ITO, MAKI KOSUGE, YOSHIO YAMASHITA
    1992 Volume 5 Issue 1 Pages 181-190
    Published: 1992
    Released on J-STAGE: August 01, 2006
    JOURNAL FREE ACCESS
    A glass precursor polymer is designed on the basis of an idea of conversion of organosilicone polymer to an inorganic substance by lithographic procedure. Poly(di-t-butoxysiloxane) with photoacid generator is exposed followed by baking to give SiO2. The mechanism of the conversion is confirmed from the IR spectra. The sensitivity changes by deprotection ratio of t-butyl groups in the polymer chain, structurally improved polymer gives high sensitivity of 1.65μC/cm2. The glass precursor resist resolves 0.2μ m L/S patterns. O2-RIE durability of the resist film after exposure and PEB is, extremly high, over 62 times compared with bottom resist.
    Download PDF (357K)
  • Tetsuyoshi Ishii, Tadahito Matsuda
    1992 Volume 5 Issue 1 Pages 191-196
    Published: 1992
    Released on J-STAGE: August 01, 2006
    JOURNAL FREE ACCESS
    A new fabrication technique for fine circular (or ring-shaped) patterns is proposed. This technique uses the fragile corner region of a mask material covering over a dot pattern to make a circular window mask and transfer the circular mask pattern to the underlying layer. The technique can drastically improve the ring-width resolution of circular patterns by canceling resolution-limiting effects such as diffraction in photolithography or scattering in electron beam lithography. The time needed for pattern data processing can also be reduced by writing simple dot patterns. Patterning experiments in electron beam lithography demonstrate the fabrication of circular patterns with sub-quartermicron dimensions. Integrated fine circular patterns with a dimension of a quarter- micron or less will further contribute to fabrication of micro-or nanostructure devices.
    Download PDF (240K)
  • Haiwon Lee, Seok-Kyu Lee, Byung-Sun Park
    1992 Volume 5 Issue 1 Pages 197-205
    Published: 1992
    Released on J-STAGE: August 01, 2006
    JOURNAL FREE ACCESS
    X-ray and elctron beam lithography is expected to be leading candidates for the future VLSI's having a minimum dimension below the resolution limit of optical lithography. Since the concept of chemical amplification has been introduced, tremendous progress has been achieved in designing resists for deep-UV, x-ray and electron beam lithography. Recently three component resist systems which contain polymer matrix, photoacid generator and dissolution inhibitor have shown the enhanced sensitivity and excellent resolution. Novel novolak based positive resist containing three components has been developed. The properties of novolak resins with solvent treatment was characterized by GPC, TGA and DSC. The results suggest that the solvent extraction influences the thermal stability and molecular weight of novolak resins. Preliminary synchrotron x-ray lithography shows that KXPR resist containing 0.3 wt% PAG is capable of ca. 0.4μm resolution and 60mJ/cm2 sensitivity . The KXPR resist is also sensitive to electon beam exposure. The resist containing 0.7 wt% PAG has a high sensitivity of ca. 3μC/cm2 with γ 5.1, and is capable of 0.3μm resolution. Etch resistance was evaluated and reported on silicon dioxide and polysilicon layers.
    Download PDF (386K)
  • James W. Thackeray, Theodore H. Fedynyshyn, Angelo A. Lamola, Richard ...
    1992 Volume 5 Issue 1 Pages 207-216
    Published: 1992
    Released on J-STAGE: August 01, 2006
    JOURNAL FREE ACCESS
    This paper presents further results on two-component positive-tone chemically amplified DUV resist. A partially deblocked poly(p-vinyl)phenol film is shown to be stable to autocatalytic hydrolysis, unlike other blocked poly(p-vinyl)phenol films. The concept of ‘T-top’ formation through acid loss during the postexposure bake is presented as an additional concern, besides the well known base contamination effect. The activation energy for the deblocking reaction is measured to be 35kcal/mole and the kinetic order for deblocking is 1.9. These resists show better linearity and exposure latitude at lower PEB temperatures such as 70°C, with a resultant substantial photospeed penalty.
    Download PDF (389K)
feedback
Top