IEICE Transactions on Electronics
Online ISSN : 1745-1353
Print ISSN : 0916-8524
E92.C 巻, 4 号
選択された号の論文の27件中1~27を表示しています
Special Section on Low-Leakage, Low-Voltage, Low-Power and High-Speed Technologies for System LSIs in Deep-Submicron Era
  • Tadayoshi ENOMOTO
    2009 年 E92.C 巻 4 号 p. 385
    発行日: 2009/04/01
    公開日: 2009/04/01
    ジャーナル 認証あり
  • How-Rern LIN, Wei-Hao CHIU, Tsung-Yi WU
    原稿種別: PAPER
    2009 年 E92.C 巻 4 号 p. 386-390
    発行日: 2009/04/01
    公開日: 2009/04/01
    ジャーナル 認証あり
    A new conditional isolation technique (CI-Domino) in domino logic is proposed for wide domino gates. This technique can not only reduce the subthreshold and gate oxide leakage currents simultaneously without sacrificing circuit performance, but also it can be utilized to speed up the evaluation time of domino gate. Simulations on high fan-in domino OR gates with 0.18µm process technology show that the proposed technique achieves reduction on total static power by 36%, dynamic power by 49.14%, and delay time by 60.27% compared to the conventional domino gate. Meanwhile, the proposed technique also gains about 48.14% improvement on leakage tolerance.
  • Ching-Hwa CHENG, Chin-Hsien WANG
    原稿種別: PAPER
    2009 年 E92.C 巻 4 号 p. 391-400
    発行日: 2009/04/01
    公開日: 2009/04/01
    ジャーナル 認証あり
    CMOS circuits consume great dynamic power in switching. It has been proposed that energy transfer through a rising Vdd dissipates small amounts of energy. In typical power gate circuits, the high-performance PMOS transistors (PSW) that connect the circuit blocks to the power supply reduce leakage power by shutting off outer power (Vdd) to the idle blocks. We expand this technique by utilizing active PSW, which are turned on and off by clock signal. The PSW are fully turned on only for half of each clock cycle. This means that sufficient Vdd is provided to the circuit continuously for half of each clock cycle. In this manner, the circuit charge and discharge actions are cycle occur in different phases, and ramp Vdd is supplied to the designed circuit; we name this technique “CKVdd.” CKVdd is a clock-controlled self-stabilized voltage technique, which generates stable ramp voltage to suppress the currents effectively. It is proposed to reduce dynamic power dissipation in conventional CMOS digital circuits. As compared to the conventional circuit, the circuits using CKVdd technique possesses several characteristics that differ from those of the current circuits using constant Vdd power source. First, CKVdd technique combines the power source and clock signal; it is an efficient low power technique. Second, CKVdd propose a feasible method to generate ramp-Vdd and low-Vdd. This technique would be convenient used to design generic low power digital circuits. Third, normal CMOS circuits show the dynamic power consumption increase proportional to the clock frequency. CKVdd results in a lower-than-usual frequency dependency, it is suitable used to design high clock speed circuits. In investigating constant Vdd for MPEG VLD decoders, CKVdd-circuit reduces 48% of the usual power dissipation and 88% of the usual peak current with small delay penalty.
  • Tsung-Yi WU, Liang-Ying LU
    原稿種別: PAPER
    2009 年 E92.C 巻 4 号 p. 401-408
    発行日: 2009/04/01
    公開日: 2009/04/01
    ジャーナル 認証あり
    In this paper, we propose novel transmission-gate-based (TG-based) AND gates, TG-based OR gates, and pass-transistor logic gates that have new structures and have lower transistor counts than those proposed by other authors. All our proposed gates operate in full swing and have less leakage currents and shorter delays than conventional CMOS gates. Compared with the conventional 65nm CMOS gates, our proposed 65nm gates in this paper can improve leakage currents, dynamic power consumption, and propagation delays by averages of 42.4%, 8.1%, and 13.5%, respectively. Logic synthesizers can use them to facilitate power reduction. The experimental results show that a commercial power optimization tool can further reduce the leakage current and dynamic power up to 39.85% and 18.69%, respectively, when the standard cell library used by the tool contains our proposed gates.
  • Tadayoshi ENOMOTO, Nobuaki KOBAYASHI
    原稿種別: PAPER
    2009 年 E92.C 巻 4 号 p. 409-416
    発行日: 2009/04/01
    公開日: 2009/04/01
    ジャーナル 認証あり
    A square-root (SR) algorithm, an SR architecture and a leakage current reduction circuit were developed to reduce dynamic power (PAT) and leakage power (PST), while maintaining the speed of a CMOS SR circuit. Using these techniques, a 90-nm CMOS LSI was fabricated. The PAT of the new SR circuit at a clock frequency (fc) of 490MHz and a supply voltage (VDD) of 0.75V was 104.1µW, i.e., 21.6% that (482.3µW) of a conventional SR circuit. The PST of the new SR circuit was markedly reduced to 19.51nW, which was only 1.69% that (1, 153nW) of the conventional SR circuit.
  • Ryusuke NEBASHI, Noboru SAKIMURA, Tadahiko SUGIBAYASHI, Naoki KASAI
    原稿種別: PAPER
    2009 年 E92.C 巻 4 号 p. 417-422
    発行日: 2009/04/01
    公開日: 2009/04/01
    ジャーナル 認証あり
    We propose an MRAM macro architecture for SoCs to reduce their area size. The shared write-selection transistor (SWST) architecture is based on 2T1MTJ MRAM cell technology, which enables the same fast access time with a smaller cell area than that of 6T SRAMs. We designed a 4-Mb macro using the SWST architecture with a 0.15-µm CMOS process and a 0.24-µm MRAM process. The macro cell array consists of 81T64MTJ cell array elements, each storing 64bits of data. The area size is reduced by more than 30%. By introducing a leakage-replication (LR) read scheme, a wide read margin on a test chip is accomplished and 50-ns access time is achieved with SPICE simulation. The 2T1MTJ macro and 81T64MTJ macro can be integrated into a single SoC.
  • Hidehiro FUJIWARA, Shunsuke OKUMURA, Yusuke IGUCHI, Hiroki NOGUCHI, Hi ...
    原稿種別: PAPER
    2009 年 E92.C 巻 4 号 p. 423-432
    発行日: 2009/04/01
    公開日: 2009/04/01
    ジャーナル 認証あり
    This paper proposes a novel dependable SRAM with 7T/14T memory cells, and introduces a new concept, “quality of a bit (QoB)” for it. The proposed SRAM has three modes: a normal mode, high-speed mode, and dependable mode, and dynamically scales its reliability, power and speed by combining two memory cells for one-bit information (i.e. 14T/bit). By carrying out Monte Carlo simulation in a 65-nm process technology, the minimum voltages in read and write operations are improved by 0.21V and 0.26V, respectively, with a bit error rate of 10-8 kept. In addition, we confirm that the dependable mode achieves a lower bit error rate than the error correction code (ECC) and multi module redundancy (MMR). Furthermore, we propose a new memory array structure to avoid the half-selection problem in a write operation. The respective cell area overheads in the normal mode are 26% and 11% in the cases where additional transistors are pMOSes and nMOSes, compared to the conventional 6T memory cell.
  • Takatsugu ONO, Koji INOUE, Kazuaki MURAKAMI, Kenji YOSHIDA
    原稿種別: PAPER
    2009 年 E92.C 巻 4 号 p. 433-443
    発行日: 2009/04/01
    公開日: 2009/04/01
    ジャーナル 認証あり
    This paper proposes a software-controllable variable line-size (SC-VLS) cache architecture for low power embedded systems. High bandwidth between logic and a DRAM is realized by means of advanced integrated technology. System-in-Silicon is one of the architectural frameworks to realize the high bandwidth. An ASIC and a specific SRAM are mounted onto a silicon interposer. Each chip is connected to the silicon interposer by eutectic solder bumps. In the framework, it is important to reduce the DRAM energy consumption. The specific DRAM needs a small cache memory to improve the performance. We exploit the cache to reduce the DRAM energy consumption. During application program executions, an adequate cache line size which produces the lowest cache miss ratio is varied because the amount of spatial locality of memory references changes. If we employ a large cache line size, we can expect the effect of prefetching. However, the DRAM energy consumption is larger than a small line size because of the huge number of banks are accessed. The SC-VLS cache is able to change a line size to an adequate one at runtime with a small area and power overheads. We analyze the adequate line size and insert line size change instructions at the beginning of each function of a target program before executing the program. In our evaluation, it is observed that the SC-VLS cache reduces the DRAM energy consumption up to 88%, compared to a conventional cache with fixed 256B lines.
  • Wenjian YU, Rui SHI, Chung-Kuan CHENG
    原稿種別: PAPER
    2009 年 E92.C 巻 4 号 p. 444-452
    発行日: 2009/04/01
    公開日: 2009/04/01
    ジャーナル 認証あり
    This paper introduces a step response based method to predict the eye diagram for high-speed signaling systems. The method is able to predict accurately the worst-case eye diagram, and is orders of magnitude faster than the method using SPICE simulation with input of random bits. The proposed method is applied to search optimal equalizer parameters for lower-power transmission-line signaling schemes. Simulation results show that the scheme with driver-side series capacitor achieves much better eye area, and signaling throughput than the conventional scheme with only resistive terminations.
  • Masaru HARAGUCHI, Tokuya OSAWA, Akira YAMAZAKI, Chikayoshi MORISHIMA, ...
    原稿種別: PAPER
    2009 年 E92.C 巻 4 号 p. 453-459
    発行日: 2009/04/01
    公開日: 2009/04/01
    ジャーナル 認証あり
    This paper describes new DDRx SDRAM interface architecture suitable for system-on-chip (SOC) implementation. Our test chip fabricated in a 90-nm CMOS process adopts three key schemes and achieves 960 Mb/s/pin operations with 32 bits width. One of new schemes is to suppress timing skew with rising-edge signal transmission I/O circuit and look-up table type impedance calibration circuit. DQS round-trip-time, propagation delay from rising edge of system clock in SOC to arrival of DQS at input PAD of SOC during read operation, becomes longer than one clock cycle time as for DDR2 interface and beyond. Flexible DQS round-trip-time scheme can allow wide range up to N/2 cycles in N bits burst read operation. In addition, full self loop-backed test scheme is also proposed to measure AC timing parameters without high-end tester. The architecture reported in this paper can be continuously adaptive to realize higher data-rate and cost-efficient DDRx-SDRAM interface for various kinds of SOC.
  • Narimasa TAKAHASHI, Kenji KAGAWA, Yutaka HONDA, Yo TAKAHASHI
    原稿種別: PAPER
    2009 年 E92.C 巻 4 号 p. 460-467
    発行日: 2009/04/01
    公開日: 2009/04/01
    ジャーナル 認証あり
    This paper describes the modeling and the analysis methodology to evaluate Simultaneous Switching Noise (SSN) for the combined system of the package with the 4-layer Printed Circuit Board (PCB), which the 64 Simultaneous Switching Outputs (SSOs) were included using a simple IBIS model. Simulation results showed that the ground plane in both package and PCB can be used as the reference to reduce SSN more effectively than the power plane. For the source synchronous timing technique such as used in a DDR SDRAM memory bus in the model shown in this paper, the skew control circuit tequiniqe is easy to apply in the chip design instead of using embedded capacitors in the package's substrate. And also the radiated emission and eye diagram analysis were studied.
  • Yasumi NAKAMURA, Makoto TAKAMIYA, Takayasu SAKURAI
    原稿種別: PAPER
    2009 年 E92.C 巻 4 号 p. 468-474
    発行日: 2009/04/01
    公開日: 2009/04/01
    ジャーナル 認証あり
    An on-chip power supply noise canceller with higher voltage supply and switching transistor is proposed and the effectiveness of the canceller is experimentally verified. The noise canceller is effective for nano-second order noise caused by circuit wakeup or step increase of frequency in frequency hopping. The principle of the noise canceller is to reduce the current flowing through the supply line of VDD by injecting additional current from the higher voltage supply, so that the voltage drop across the VDD supply line is reduced. As additional current flow from higher supply, switching transistor has to be turned off not to increase the power consumption. With turn-off time of 2L/R, this current can be turned off without inducting another droop due to the increase of current flowing through the power supply line. The measurement shows the canceller reduces 68% of the noise with load circuit equivalent to 530k logic gates in 90-nm CMOS with 9% wire overhead, 1.5% area overhead, and 3% power overhead at 50k wake-ups/s. Compared to passive noise reduction, proposed noise canceller reduces power supply noise by 64% without wire overhead and to achieve same noise reduction with passive method, 77 times more C or 45 times less L is required. Too large switching transistor results in saturated noise reduction effect and higher power consumption. A rule-of-thumb is to set the on-resistance to supply 100% of load current when turned-on.
  • Mitsuya FUKAZAWA, Masanori KURIMOTO, Rei AKIYAMA, Hidehiro TAKATA, Mak ...
    原稿種別: PAPER
    2009 年 E92.C 巻 4 号 p. 475-482
    発行日: 2009/04/01
    公開日: 2009/04/01
    ジャーナル 認証あり
    Logical operations in CMOS digital integration are highly prone to fail as the amount of power supply (PS) drop approaches to failure threshold. PS voltage variation is characterized by built-in noise monitors in a 32-bit microprocessor of 90-nm CMOS technology, and related with operation failures by instruction-level programming for logical failure analysis. Combination of voltage drop size and activated logic path determines failure sensitivity and class of failures. Experimental observation as well as simplified simulation is applied for the detailed understanding of the impact of PS noise on logical operations of digital integrated circuits.
  • Yuji KUNITAKE, Kazuhiro MIMA, Toshinori SATO, Hiroto YASUURA
    原稿種別: PAPER
    2009 年 E92.C 巻 4 号 p. 483-491
    発行日: 2009/04/01
    公開日: 2009/04/01
    ジャーナル 認証あり
    A deep submicron semiconductor technology has increased process variations. This fact makes the estimate of the worst-case design margin difficult. In order to realize robust designs, we are investigating such a typical-case design methodology, which we call Constructive Timing Violation (CTV). In the CTV-based design, we can relax timing constraints. However, relaxing timing constraints might cause some timing errors. While we have applied the CTV-based design to a processor, unfortunately, the timing error recovery has serious impact on processor performance. In this paper, we investigate enhancement techniques of the CTV-based design. In addition, in order to accurately evaluate the CTV-based design, we build a co-simulation framework to consider circuit delay at the architectural level. From the co-simulation results, we find the performance penalty is significantly reduced by the enhancement techniques.
  • Susumu KOBAYASHI, Naoshi DOI
    原稿種別: PAPER
    2009 年 E92.C 巻 4 号 p. 492-499
    発行日: 2009/04/01
    公開日: 2009/04/01
    ジャーナル 認証あり
    The high-speed and low-power system LSIs in recent years have crucial need for managing power supply noise so that it might not substantially affect the circuit functionality and performance. The decoupling capacitance is known as an effective measure for suppressing the power supply noise. In this paper, we propose a design methodology for decoupling capacitance budgeting, in which the decoupling capacitance is distributed appropriately over the LSI chip area in order to suppress the power supply noise of each local region. For efficient budgeting, we introduced a new concept of power-capacitance ratio, which is the ratio of power dissipation to capacitance. The proposed method first performs a simplified power supply noise analysis by using a lumped circuit model to determine the total required on-chip capacitance, and calculate the power-capacitance ratio. Then, in the layout design phase, the decoupling capacitance budgeting is performed by using the above power-capacitance ratio as a guideline. The effectiveness of the proposed method was verified by using SPICE simulations on example chip models of 90nm technology node. The verification results show that, even for a chip with very wide on-chip variation in power density, the proposed method can suppress the power supply noise of each local region effectively.
  • Masaaki OHTSUKI, Masato KAWAI, Masahiro FUKUI
    原稿種別: PAPER
    2009 年 E92.C 巻 4 号 p. 500-507
    発行日: 2009/04/01
    公開日: 2009/04/01
    ジャーナル 認証あり
    Accompanying with the popularization of portable equipments, and the rapid growth of the size of the electric systems, efficient low power design methodologies have been highly required. To satisfy these requests, a high accurate and high efficient power analysis in higher abstraction level is very important. The design environment is composed by efficient algorithms of power modeling, power library building, and data extracting. Those components of the environment should be balanced for the total efficiency and accuracy. We have proposed a new efficient power modeling environment which uses a look-up table (LUT). It reduces the size of the LUT drastically, compared to conventional algorithms. It makes the power analysis and library building high efficient. The experimental results show that our approach reduces the computation time to build the library to one tenth while keeping the accuracy of the power analysis. The RMS error and the largest error has been less than 8.30%, 59.16%, respectively.
  • Hengliang ZHU, Xuan ZENG, Xu LUO, Wei CAI
    原稿種別: PAPER
    2009 年 E92.C 巻 4 号 p. 508-516
    発行日: 2009/04/01
    公開日: 2009/04/01
    ジャーナル 認証あり
    For variation-aware capacitance extraction, stochastic collocation method (SCM) based on Homogeneous Chaos expansion has the exponential convergence rate for Gaussian geometric variations, and is considered as the optimal solution using a quadratic model to model the parasitic capacitances. However, when geometric variations are measured from the real test chip, they are not necessarily Gaussian, which will significantly compromise the exponential convergence property of SCM. In order to pursue the exponential convergence, in this paper, a generalized stochastic collocation method (gSCM) based on generalized Polynomial Chaos (gPC) expansion and generalized Sparse Grid quadrature is proposed for variation-aware capacitance extraction that further considers the arbitrary random probability of real geometric variations. Additionally, a recycling technique based on Minimum Spanning Tree (MST) structure is proposed to reduce the computation cost at each collocation point, for not only “recycling” the initial value, but also “recycling” the preconditioning matrix. The exponential convergence of the proposed gSCM is clearly shown in the numerical results for the geometric variations with arbitrary random probability.
  • Gi-Ho PARK, Jung-Wook PARK, Hoi-Jin LEE, Gunok JUNG, Sung-Bae PARK, Sh ...
    原稿種別: LETTER
    2009 年 E92.C 巻 4 号 p. 517-521
    発行日: 2009/04/01
    公開日: 2009/04/01
    ジャーナル 認証あり
    This paper presents a cache way enabling mechanism using branch target addresses. This mechanism uses branch prediction information to avoid the power consumption due to unnecessary cache way access by enabling only the cache way(s) that should be accessed. The proposed cache way enabling mechanism reduces the power consumption of the instruction cache by 63% without any performance degradation of the processor. An ARM1136 processor simulator and the Synopsys PrimeTime are used to perform the performance/power simulation and static timing analysis of the proposed mechanisms respectively.
Regular Section
  • Panuwat DAN-KLANG, Ekachai LEELARASMEE
    原稿種別: PAPER
    専門分野: Microwaves, Millimeter-Waves
    2009 年 E92.C 巻 4 号 p. 522-531
    発行日: 2009/04/01
    公開日: 2009/04/01
    ジャーナル 認証あり
    The problem of analyzing transient in transmission line circuits is studied with emphasis on obtaining the transient voltage and current distributions. A new method for solving Telegrapher equation that characterizes the uniform transmission lines is presented. It not only gives the time domain solution of the line terminal voltage and current, but also their distributions within the lines. The method achieves its goal by treating the voltage and current distributions as distributed state variables and transforms the Telegrapher equation into an ordinary differential equation. This allows the coupled transmission lines to be treated as a single component that behaves like other lumped dynamic components, such as capacitors and inductors. Using Backward Differentiation Formulae for time discretization, the transmission line component is converted to its time domain companion model, from which its local truncation error for time step control can be derived. As the shapes of the voltage and current distributions get more complicated with time, they can be approximated by piecewise exponential functions with controllable accuracy. A segmentation algorithm is thus devised so that the line is dynamically bisected to guarantee that the total piecewise exponential approximation error is only a small fraction of the local truncation error. Using this approach, the user can see the line voltage and current at any point and time freely without explicitly segment the line before starting the simulation.
  • Atsushi KURAMOTO, Tomohiko KANIE, Masato ADACHI, Masashi KATO, Yuichi ...
    原稿種別: PAPER
    専門分野: Microwaves, Millimeter-Waves
    2009 年 E92.C 巻 4 号 p. 532-538
    発行日: 2009/04/01
    公開日: 2009/04/01
    ジャーナル 認証あり
    In this work, errors in a ferrite core permeability dispersion measurement using a microstrip line (MSL) method, where three kinds of MSL circuits are used, are evaluated by both an electromagnetic simulation technique and experiments. The computer simulated results have shown that although the measurement errors decrease according to the diameter of the winding wire which passes through a sample ferrite core becomes larger, that is the spacing between the wire and the core gets narrower, a certain amount of error still remains. In order to overcome this problem and improve the measurement accuracy, a metal pipe electrically connected to a ground plane for shielding is placed around the wire of the non-magnetic core circuit which is one of the three MSL circuits noted above.
  • Hasitha Muthumala WAIDYASOORIYA, Masanori HARIYAMA, Michitaka KAMEYAMA
    原稿種別: PAPER
    専門分野: Electronic Circuits
    2009 年 E92.C 巻 4 号 p. 539-549
    発行日: 2009/04/01
    公開日: 2009/04/01
    ジャーナル 認証あり
    This paper presents a novel architecture to increase the hardware utilization in multi-context field programmable gate arrays (MC-FPGAs). Conventional MC-FPGAs use dedicated tracks to transfer context-ID bits. As a result, hardware utilization ratio decreases, since it is very difficult to map different contexts, area efficiently. It also increases the context switching power, area and static power of the context-ID tracks. The proposed MC-FPGA uses the same wires to transfer both data and context-ID bits from cell to cell. As a result, programs can be mapped area efficiently by partitioning them into different contexts. An asynchronous multi-context logic block architecture to increase the processing speed of the multiple contexts is also proposed. The proposed MC-FPGA is fabricated using 6-metal 1-poly CMOS design rules. The data and context-ID transfer delays are measured to be 2.03ns and 2.26ns respectively. We achieved 30% processing time reduction for the SAD based correspondance search algorithm.
  • Sheng-Lyang JANG, Chih-Yeh LIN, Cheng-Chen LIU, Jhin-Fang HUANG
    原稿種別: PAPER
    専門分野: Electronic Circuits
    2009 年 E92.C 巻 4 号 p. 550-557
    発行日: 2009/04/01
    公開日: 2009/04/01
    ジャーナル 認証あり
    A dual band 0.18µm CMOS LC-tank injection locked frequency divider (ILFD) is proposed. The ILFD circuit is realized with a cross-coupled pMOS LC-tank oscillator with an inductor switch for frequency band selection. The self-oscillating VCO is injection-locked by nth-harmonic input to obtain the division factor of n. The division ratio of 1, 2, and 3 has been found for the proposed ILFD. Measurement results show that at the supply voltage of 1.1V, the free-running frequency is from 2.28(3.09)GHz to 2.78(3.72)GHz for the low- (high-) frequency band. The power consumption of the ILFD core is 3.7mW (6.2mW) at low (high) band. The total area including the output buffer and the pads is 0.841 × 0.764mm2.
  • Zunchao LI, Ruizhi ZHANG, Feng LIANG, Zhiyong YANG
    原稿種別: PAPER
    専門分野: Semiconductor Materials and Devices
    2009 年 E92.C 巻 4 号 p. 558-563
    発行日: 2009/04/01
    公開日: 2009/04/01
    ジャーナル 認証あり
    Halo doping profile is used in nanoscale surrounding-gate MOSFETs to suppress short channel effect and improve current driving capability. Analytical surface potential and threshold voltage models are derived based on the analytical solution of Poisson's equation for the fully depleted symmetric and asymmetric halo-doped MOSFETs. The validity of the analytical models is verified using 3D numerical simulation. The performance of the halo-doped MOSFETs are studied and compared with the uniformly doped surrounding-gate MOSFETs. It is shown that the halo-doped channel can suppress threshold voltage roll-off and drain-induced barrier lowering, and improve carrier transport efficiency. The asymmetric halo structure is better in suppressing hot carrier effect than the symmetric halo structure.
  • Takao KIHARA, Hae-Ju PARK, Isao TAKOBE, Fumiaki YAMASHITA, Toshimasa M ...
    原稿種別: PAPER
    専門分野: Integrated Electronics
    2009 年 E92.C 巻 4 号 p. 564-575
    発行日: 2009/04/01
    公開日: 2009/04/01
    ジャーナル 認証あり
    A 0.5V transformer folded-cascode CMOS low-noise amplifier (LNA) is presented. The chip area of the LNA was reduced by coupling the internal inductor with the load inductor, and the effects of the magnetic coupling between these inductors were analyzed. The magnetic coupling reduces the resonance frequency of the input matching network, the peak frequency and magnitude of the gain, and the noise contributions from the common-gate stage to the LNA. A partially-coupled transformer with low magnetic coupling has a small effect on the LNA performance. The LNA with this transformer, fabricated in a 90nm digital CMOS process, achieved an S11 of -14dB, NF of 3.9dB, and voltage gain of 16.8dB at 4.7GHz with a power consumption of 1.0mW at a 0.5V supply. The chip area of the proposed LNA was 25% smaller than that of the conventional folded-cascode LNA.
  • Panan POTIPANTONG, Phaophak SIRISUK, Soontorn ORAINTARA, Apisak WORAPI ...
    原稿種別: PAPER
    専門分野: Integrated Electronics
    2009 年 E92.C 巻 4 号 p. 576-586
    発行日: 2009/04/01
    公開日: 2009/04/01
    ジャーナル 認証あり
    This paper presents an FPGA implementation of highly modular universal discrete transforms. The implementation relies upon the unified discrete Fourier Hartley transform (UDFHT), based on which essential sinusoidal transforms including discrete Fourier transform (DFT), discrete Hartley transform (DHT), discrete cosine transform (DCT) and discrete sine transform (DST) can be realized. It employs a reconfigurable, scalable and modular architecture that consists of a memory-based FFT processor equipped with pre- and post-processing units. Besides, a pipelining technique is exploited to seamlessly harmonize the operation between each sub-module. Experimental results based on Xilinx Virtex-II Pro are given to examine the performance of the proposed UDFHT implementation. Two practical applications are also shown to demonstrate the flexibility and modularity of the proposed work.
  • Huy-Binh LE, Seung-Tak RYU, Sang-Gug LEE
    原稿種別: LETTER
    専門分野: Electronic Circuits
    2009 年 E92.C 巻 4 号 p. 587-588
    発行日: 2009/04/01
    公開日: 2009/04/01
    ジャーナル 認証あり
    An on-chip CMOS preamplifier for direct signal readout from an electret capacitor microphone has been designed with high immunity to common-mode and supply noise. The Gm-Opamp-RC based high impedance preamplifier helps to remove all disadvantages of the conventional JFET based amplifier and can drive a following switched-capacitor sigma-delta modulator in order to realize a compact digital electret microphone. The proposed chip is designed based on 0.18µm CMOS technology, and the simulation results show 86dB of dynamic range with 4.5µVrms of input-referred noise for an audio bandwidth of 20kHz and a total harmonic distortion (THD) of 1% at 90mVrms input. Power supply rejection ratio (PSRR) and common-mode rejection ration (CMRR) are more than 95dB at 1kHz. The proposed design dissipates 125µA and can operate over a wide supply voltage range of 1.6V to 3.3V.
  • Joonhee LEE, Sungjun KIM, Sehyung JEON, Woojae LEE, SeongHwan CHO
    原稿種別: LETTER
    専門分野: Electronic Circuits
    2009 年 E92.C 巻 4 号 p. 589-591
    発行日: 2009/04/01
    公開日: 2009/04/01
    ジャーナル 認証あり
    This letter presents an ultra low-jitter clock generator that employs an area-efficient LC-VCO. In order to fully utilize the area of the on-chip inductor, the loop filter of a phase locked loop (PLL) is located underneath the inductor. A prototype chip implemented in 0.13µm CMOS process achieves 105MHz to 225MHz of clock frequency while consuming 4.2mW from 1.2V supply. The measured rms jitter and normalized rms jitter of the proposed clock generator are 2.8ps and 0.031% at 105MHz, respectively.
feedback
Top