Journal of Information Processing
Online ISSN : 1882-6652
ISSN-L : 1882-6652
Energy Reduction of BTB by Focusing on Number of Branches per Cache Line
Ryotaro KobayashiKaoru SaitoHajime Shimada
Author information
JOURNAL FREE ACCESS

2016 Volume 24 Issue 3 Pages 492-503

Details
Abstract

The latest processors exploit Instruction Level Parallelism to improve performance, but this strategy is limited by control dependency. To alleviate this problem, the most recent processors utilize branch prediction. A typical branch predictor applies prediction to all instructions; however, this means that the branch predictor requires a high energy input, especially to the BTB (branch target buffer). In this paper, we propose a method that reduces the number of BTB accesses and abolishes the BTB tag by associating the instruction cache line and BTB entry. This proposal allocates a fixed number of BTB entries to a cache line and allocates an index to the corresponding instruction in the cache line as a substitute for the BTB tag. Due to the small fixed numbers of BTB entries compared to the fetch amount and reduction of the BTB tag, our proposal can reduce BTB access energy requirements. Our proposal is anticipated to cut energy consumption, but it cannot apply a branch target prediction to the entire set of instructions if there are too many branch instructions per cache line. We therefore evaluated its effects on processor performance and energy consumption. The evaluation results show that the proposal reduces BTB access energy requirements to 47.5% without any performance loss.

Content from these authors
© 2016 by the Information Processing Society of Japan
Previous article Next article
feedback
Top