MATERIALS TRANSACTIONS
Online ISSN : 1347-5320
Print ISSN : 1345-9678
ISSN-L : 1345-9678
Design and Fabrication of Wire Grid Polarizer by Nanoimprinting and Glancing Angle Deposition Processes
Hyungjun JangGa-Young ShinHo-Young JangJonghyun JuJiseok LimSeok-min Kim
Author information
JOURNAL FREE ACCESS FULL-TEXT HTML

2017 Volume 58 Issue 3 Pages 494-498

Details
Abstract

We report a simple and cost-effective fabrication method for a wire grid polarizer. The wire grid polarizer was fabricated by incorporating UV nanoimprinting and glancing angle deposition processes. A silicon pattern with a 40 nm line width, 100 nm pitch, and 100 nm height was fabricated by electron beam (E-beam) lithography and reactive ion etching processes. The UV nanoimprinting process was performed on a glass substrate and aluminum nanowires, with a height of 70 nm, were subsequently generated with a glancing angle deposition process. P-polarization transmittance above 55% and an extinction ratio of 31.1~6.1 were measured in the visible wavelength range.

Fig. 5 (a) Cross-sectional SEM image of the fabricated WGP and (b) measured EDS spectrum of metallic wire region in top view SEM image. Fullsize Image
Content from these authors
© 2017 The Japan Institute of Metals and Materials
Previous article Next article
feedback
Top