Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
Spin-on Metal Oxides and Their Applications for Next Generation Lithography
Huirong YaoSalem MullenElizabeth WolferDouglas MckenzieAlberto DiosesDalil RahmanJoonYeon ChoMunirathna PadmanabanClaire PetermannSungEun HongGeert MannaertToby HopfDanilo De SimoneDiziana VangoidsenhovenChristophe LorantFarid SebaaiEfrain Altamirano Sanchez
Author information
JOURNAL FREE ACCESS

2016 Volume 29 Issue 1 Pages 59-67

Details
Abstract

Metal oxide or metal nitride films are used as hard mask materials in the semiconductor lithography processes due to their excellent etch resistances against the plasma etches. Chemical vapor deposition (CVD) or atomic layer deposition (ALD) techniques are usually used to deposit the metal containing materials on substrates or underlying films, which uses specialized equipment and can lead to high cost-of-ownership and low throughput. The present paper describes formation and functional properties of novel metal oxide hard masks by simple solution spin coating process. These stable metal oxide formulations containing significant amount of Ti, W, Hf, Zr and Al possess good etch selectivity and therefore good pattern transfer capability. The metal oxide films can be removed by commonly used wet chemicals in the fab environment such as TMAH developer, solvents or other oxidizing agents. The hard mask material absorbs DUV wavelengths and hence can be used as a spin-on inorganic or hybrid antireflective coating to control substrate reflectivity. Some metal hard masks are also developed for via or trench filling applications for electronic devices as high K materials. The research demonstrated that these metal oxide hard masks are compatible with litho track and etch processing without concern of metal contamination. They can, therefore be integrated as replacements of CVD or ALD metal, metal oxide, metal nitride or spin-on silicon-containing hard mask films in 193 nm or EUV processes. This paper discusses coating, optical, filling, etch and wet removal properties the spin-on metal oxide formulations. In addition, a new potential application in self-aligned quadruple patterning cut process for advanced technology nodes is also described.

Content from these authors
© 2016 The Society of Photopolymer Science and Technology (SPST)
Previous article Next article
feedback
Top