Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
Current issue
Displaying 1-16 of 16 articles from this issue
  • Kenta Suzuki, Tetsuya Ueda, Hiroshi Hiroshima, Yoshihiro Hayashi, Masa ...
    2024 Volume 37 Issue 5 Pages 449-456
    Published: June 25, 2024
    Released on J-STAGE: July 31, 2024
    JOURNAL FREE ACCESS

    Nanoimprint lithography (NIL) is a promising technique for fabricating dual damascene structures commonly fabricated in back-end-of-line layers. The feature size must be controlled for forming good open/short interconnects in damascene processes. In this work, we controlled the feature size by pattern transfer etching in NIL for half-pitch (HP) 24 nm damascene interconnects. By changing the conditions when transferring patterns etched in NIL to spin-on-carbon layers, the wiring dimensions were changed by approximately 5 nm for a HP 24 nm. A test pattern was fabricated by depositing tungsten, followed by chemical mechanical polishing. The electrical characteristics of test devices were subsequently evaluated using the open/short test element group. We widened the process window for fabricating damascene interconnects by varying the linewidth to control the feature size in pattern transfer etching.

    Download PDF (5275K)
  • Rio Yamagishi, Sayaka Miura, Mano Ando, Yuna Hachikubo, Naoto Sugino, ...
    2024 Volume 37 Issue 5 Pages 457-463
    Published: June 25, 2024
    Released on J-STAGE: July 31, 2024
    JOURNAL FREE ACCESS

    In tissue engineering and regenerative medicine, scaffold micropatterning plays an essential role in reproducing the microscopic cellular environment and cell-cell interactions. This study provides a novel molding process for surface microfabricaion of 100% pure fish-derived collagen without the use of photoinitiators, which can be cytotoxic, by low-temperature molding at 5℃ using nanoimprint lithography. TiO2-SiO2 gas-permeable porous mold was used to fabricate collagen micropatterns, which can improve gas entrapment during molding, one of the challenges in nanoimprint lithography. The excellent gas permeability of TiO2-SiO2 gas-permeable porous mold enabled fine patterning with a height of 80 μm and a bottom diameter of 40 μm without molding defects for a collagen solution containing 40 wt% water. FT-IR spectral measurements revealed that low-temperature drying at 5℃ during microfabrication to the collagen surface had almost no effect on the collagen components. This molding process, which does not require chemical modification of collagen and does not cause protein denaturation even at molding temperatures of 5℃, has the potential to be widely used as a next-generation medical application technology in the fields of tissue engineering and regenerative medicine.

    Download PDF (2053K)
  • Yuri Nakamura, Jun Taniguchi
    2024 Volume 37 Issue 5 Pages 465-468
    Published: June 25, 2024
    Released on J-STAGE: July 31, 2024
    JOURNAL FREE ACCESS

    In this study, we fabricated hybrid soft replica molds, excluding vacuum processes, to achieve residual-layer-free patterning. A proposed technique for enabling residual-layer-free patterning involves the use of molds with a UV-blocking metal layer over the top of the protrusion. However, the fabrication of this layer is time-consuming because of processes such as evaporation and etching. To address this issue, we propose a technique for the metallization of mold surfaces using UV nanoimprint lithography (NIL). This method involves sintering Ag ink onto a master mold and transferring it to a flexible film using a UV-transparent resin. Although traditional methods require controlled environments, our approach eliminates the need for vacuum processing; thus, rapid fabrication is possible. Furthermore, the flexibility of the soft replica molds allows for conformal contact with uneven substrates. We investigated the relationship between the Ag properties and the initial resist thickness and characterized it for residual-layer-free patterning. Consequently, patterns ranging from 100 to 2 µm in line/space and pillar dimensions were achieved with high dimensional accuracy. The hybrid soft replica molds, fabricated through a straightforward process, enabled a high throughput with residual layer-free patterning on hard substrates.

    Download PDF (1476K)
  • Risa Tanaka, Takeshi Osaki, Jun Taniguchi
    2024 Volume 37 Issue 5 Pages 469-474
    Published: June 25, 2024
    Released on J-STAGE: July 31, 2024
    JOURNAL FREE ACCESS

    UV nanoimprint lithography (UV-NIL) is a powerful tool for replicating nanoscale patterns. To preserve the master mold, it is effective to use a replica mold that is replicated from the master mold by UV-NIL. To avoid a release coating treatment, an acrylic-type UV-curable resin with fluorinated materials has been developed. The molds of these materials can be transferred without a release coating because of the fluorinated material. The durability of the replica mold is very important for mass production; thus, a durability test, which is a repetitive transfer in UV-NIL, was performed. A hole-shaped replica mold was transferred more than 10,000 times, and the transferred pattern error did not increase. Thus, the replica mold had very high durability and fidelity in UV-NIL. The master mold tends to have a small area; therefore, an enlargement of the patterned area is desired. The developed UV-curable resin can also increase the patterned area. In addition, we have developed a stitching substrate method to enlarge the patterned area. The concept of this method is hard substrates, such as silicon, can clean the edge face more easily than soft film substrates. In addition, the shape of hard substrates is uniform; therefore, the stitching of hard substrates is easier. Using this method and developed UV-curable resin, a double-area replica mold was obtained. This method is simple and inexpensive; however, only a 1.7 µm step height of the stitched region was obtained.

    Download PDF (1829K)
  • Kenta Suzuki, Tetsuya Ueda, Hiroshi Hiroshima, Yoshihiro Hayashi
    2024 Volume 37 Issue 5 Pages 475-480
    Published: June 25, 2024
    Released on J-STAGE: July 31, 2024
    JOURNAL FREE ACCESS

    Nanoimprint lithography (NIL) is attracting attention as a next-generation lithography technology. However, because NIL molds are patterned by electron beam lithography, it is difficult to fabricate NIL molds with a half-pitch (HP) of ≤15 nm. In this study, we demonstrated the possibility of fabricating NIL molds by the multi-patterning method, which is a self-aligned quadruple patterning (SAQP) technique. In the first step of the spacer double patterning, a SiO2 layer is deposited on the mandrel HP 45-nm line and space (L/S) pattern of spin-on carbon by atomic layer deposition (ALD), followed by grating dry etching for the SiO2 spacer. Transfer etching of the amorphous silicon (a-Si) layer and removal etching of SiO2 using buffered hydrofluoric acid are subsequently performed. In the SAQP step, a SiO2 layer as the spacer is deposited on the mandrel HP 22.5 nm L/S pattern of a-Si by ALD, followed by grating dry etching for the SiO2 spacer. Using this method, we constructed the fabrication of HP 11.25 nm L/S patterns that can be applied to the NIL mold process.

    Download PDF (3414K)
  • Akihiko Otoguro, Toshiharu Kuboyama, Osamu Onishi
    2024 Volume 37 Issue 5 Pages 481-484
    Published: June 25, 2024
    Released on J-STAGE: July 31, 2024
    JOURNAL FREE ACCESS

    In this paper, we report low Df and negative tone type photosensitive materials with good film properties for 5G and beyond. The combination of polyimide and cyclo olefin polymer, which we have developed, achieves both excellent film properties and low Df. The less polar crosslinking groups are effective for 365 nm photosensitivity at low Df. The developed material including polyimide also showed satisfactory film properties even after biased HAST (high acceleration stress test). Finally, via patterning of 5 µm, reliable film properties and Df of 0.005 (10 GHz) were realized. This means that our material is the most promising candidate as reliable redistribution layer for next-generation semiconductor packaging.

    Download PDF (527K)
  • Koki Ishida, Risano Nakajima, Kenta Aoshima, Yuta Kobayashi, Yukari Jo ...
    2024 Volume 37 Issue 5 Pages 485-488
    Published: June 25, 2024
    Released on J-STAGE: July 31, 2024
    JOURNAL FREE ACCESS

    In this paper, we report efficient release material which can bond semiconductor chip like micro LED and transfer onto substrates by laser rapidly and precisely. The micro LEDs were mounted on this release material from the sapphire substrate by laser lift-off process with 100% yield. We succeeded in high-speed transfer of micro LEDs using an UV laser. Furthermore, we demonstrated that even extremely thin and fragile semiconductor chips with a thickness of 0.8 μm could be transferred without any damage.

    Download PDF (2437K)
  • Riku Takahashi, Kan Hatakeyama-Sato, Yuta Nabae, Teruaki Hayakawa
    2024 Volume 37 Issue 5 Pages 489-496
    Published: June 25, 2024
    Released on J-STAGE: July 31, 2024
    JOURNAL FREE ACCESS

    A random copolymer composed of poly(dimethylsiloxane) (PDMS) and poly(diphenylsiloxane) (PDPS), with a controlled molecular weight and a narrow molecular weight distribution, was synthesized. This copolymer, PDMS-r-PDPS, was incorporated into polyimide to create PI(PDMS-r-PDPS) through a two-step chain-end modification process, polycondensation with 4,4’-biphenyltetracarboxylic dianhydride (BPDA), and subsequent heat treatment at 240 ℃. The resulting PI(PDMS-r-PDPS) was formed into a self-standing film, exhibiting a 5% weight loss temperature of 432 ℃ and a glass transition temperature of -32.5 ℃ originating from the PDMS-r-PDPS chain’s glass transition. Wide-angle X-ray diffraction (WAXD) analysis revealed that the synthesized PI(PDMS-r-PDPS) possessed an amorphous structure coexisting with a slightly ordered structure.

    Download PDF (4598K)
  • Yuji Shibasaki, Atsushi Kawabata, Yu Konno
    2024 Volume 37 Issue 5 Pages 497-505
    Published: June 25, 2024
    Released on J-STAGE: July 31, 2024
    JOURNAL FREE ACCESS

    5,5’6,6’-Tetrahydroxy-3,3,3’,3’-Tetramethylspirobisindane (TTSBI) was reacted with 4 mol-equiv of decafluorobiphenyl (DFBP), followed by the reaction with 3-hydroxyphenylacetylene (3HPA) / 4-amylphenol (4AP) to give novel low k thermosetting resins. These resins exhibited an exothermic peak due to the thermosetting reaction around 170-206 ℃, and the yellowish transparent films were successfully prepared by hot-press method. The functionalization with the ethynyl group was around 70% at 200 ℃ for 2 h, and 70-99% at 230 ℃ for 2 h. This film did not show a glass transition temperature when measured by DSC and TMA up to 300 ℃. The thermoset resins were soluble in ordinal organic solvents, but the cured film was insoluble in all solvents. The refractive index at 594 nm was 1.547-1.562, and the optical permittivity was 2.39-2.44. The relative permittivity and dielectric loss tangent measured by the cavity resonance method were 2.37 to 2.40 and 0.0019 to 0.0035 at 20 GHz.

    Download PDF (2937K)
  • Ririka Sawada, Kazuhisa Yajima, Atsuhide Takao, Haonan Liu, Shinji And ...
    2024 Volume 37 Issue 5 Pages 507-516
    Published: June 25, 2024
    Released on J-STAGE: July 31, 2024
    JOURNAL FREE ACCESS

    A series of novel semi-aliphatic polyimides (PIs) were synthesized from a dianhydride containing bio-derived isosorbide (ISSDA) moiety and three types of aliphatic diamines with long alkyl chains including a bio-based dimer diamine (DDA). The bio-based content (CB) of the ISSDA-DDA PI is as high as 65 wt%. The PIs derived from ISSDA and aliphatic diamines (ISS-APIs) exhibit excellent optical properties, such as very low refractive indices (nav < 1.566) and birefringence (Δn < 0.00124). These are attributable to the flexible alkyl chains in the PIs which reduce the intermolecular interactions and the orientation of main chains. Furthermore, the low polarity of alkyl chains and the low content of polar groups in the PIs also decrease the electronic polarizability and local oscillating motions, resulting in excellent dielectric properties, such as very low dielectric constants (Dk < 2.938) and dissipation factors (Df < 0.00964) at high frequencies (10 and 20 GHz). Despite their low glass transition temperatures of 47–152 ℃, the 5 wt% weight-loss temperatures of ISS-APIs are as high as 400 ℃. This study demonstrates the characteristic properties of the semi-aliphatic PIs which exhibit excellent optical, dielectric, and thermal properties while maintaining high CB.

    Download PDF (2052K)
  • Daiki Madokoro, Katsuaki Takashima, Susumu Tanaka, Kohei Yamaoka, Tomo ...
    2024 Volume 37 Issue 5 Pages 517-522
    Published: June 25, 2024
    Released on J-STAGE: July 31, 2024
    JOURNAL FREE ACCESS

    In this paper, we have explained a relationship between the resist sensitivity and Tg of the base polymers in three-component chemically amplified polyimide resists. Three-component chemically amplified resists consist of a base polymer, a photoacid generator (PAG), and a dissolution inhibitor that is deprotected by acid. Three polyimide resins with different Tg or the novolac resin were used as the base polymer. The polyimide resist had lower resist sensitivity than the novolac resist. The UV light absorption of the base polymers hardly inhibited the photoreaction of PAG. The higher the Tg of the base polymer, the more the deprotection reaction and acid diffusion in the resist films were promoted. From these results, a negative correlation between the Tg of the base polymer and the resist sensitivity was discovered.

    Download PDF (1910K)
  • Akari Tatsukawa, Toyotaka Nakae, Masafumi Yamato, Hiroyoshi Kawakami
    2024 Volume 37 Issue 5 Pages 523-532
    Published: June 25, 2024
    Released on J-STAGE: July 31, 2024
    JOURNAL FREE ACCESS

    Thermal treatment is an essential process for a perfluorosulfonic acid (PFSA) polymer membrane to reinforce the mechanical properties of the membrane while the proton conductivity of the membrane often drops by the treatment. Here we evaluated effects of a thermal process for a composite membrane, which consists of acid-doped nanofiber framework and a PFSA polymer matrix, on the proton-exchange membrane properties. Thermal treatments of the membranes without pressure decreased the proton conductivity of the membrane while hot-pressing maintained that. Mechanical properties of the membranes were drastically improved by the thermal processes in the respect of modulus of elasticity, elongation at break, and maximum stress while the gas-barrier properties were less affected. The scanning electron microscopic observation indicated formation of voids in the membrane by thermal treatment without pressure. These results emphasize importance of post-treatment process for composite membranes to achieve required membrane properties.

    Download PDF (3685K)
  • Naoki Matamoto, Koki Kawaguchi, Kaito Kosugi, Kazuhiro Tada
    2024 Volume 37 Issue 5 Pages 533-540
    Published: June 25, 2024
    Released on J-STAGE: July 31, 2024
    JOURNAL FREE ACCESS

    In recent years, as process dimensions in semiconductor nanofabrication have decreased, process costs have dramatically increased. Nanoimprint is one of the relatively low-cost nanofabrication technologies for next generation semiconductor devices and many research institutes and companies around the world are researching and developing this technology as a candidate to replace extreme ultraviolet lithography, the most advanced lithography technology available today. However, in nanoimprint, some tribological problems happen during loading, releasing and alignment process. Elucidating these phenomena under nano-confined conditions is a crucially important subject in this technology. In this study, to obtain fundamental knowledge of friction phenomena in the nanoscale region, we investigated the effects of loading pressure on shear stresses generated between polymer material and silicon substrates under shearing process by molecular dynamics simulation. The results showed that the shear stress increases as the loading pressure on the substrate increases. Additionally, the substrate's roughness, the polymer type, and the polymerization degree significantly affect the shear stress.

    Download PDF (7582K)
  • Haruyuki Okamura, Keisuke Oshima, Kohji Ohno
    2024 Volume 37 Issue 5 Pages 541-544
    Published: June 25, 2024
    Released on J-STAGE: July 31, 2024
    JOURNAL FREE ACCESS

    Poly(p-tert-butoxycarbonyloxystyrene) (PBOCS), a type of degradable polymer, which generates gaseous compounds, such as carbon dioxide and isobutene, was decomposed after ultrasound-irradiation and subsequent heating in the presence of titanium oxide (TiO2) and photoacid generators (PAGs). The decomposition behaviors of PBCOS containing TiO2 and PAG were investigated and discussed in terms of the chemical structures of the PAGs. Ultrasound-assisted degradation of the PAG was investigated by UV-vis spectroscopy. We believe that the degradation contributes to the easy recycling of composite materials.

    Download PDF (523K)
  • Xinyu Tang, Dongqing Liu, Yi Lu, Shun Zhou, Yuxuan Cheng, Qinxing Xie
    2024 Volume 37 Issue 5 Pages 545-560
    Published: June 25, 2024
    Released on J-STAGE: July 31, 2024
    JOURNAL FREE ACCESS

    Photo-catalysis is an effective way to remove organic pollutants in aqueous system since it can accelerate water splitting under solar energy to produce ∙O2-, which oxidizes toxic and harmful organic substances. Here, nitrogen-contained carbon quantum dots (NCQDs) were prepared by microwave-promoted co-carbonation of glucose and poly(hexamethylene guanidine) (PHMG) and loaded on the surface of poly(ether sulfone) (PES) micro-porous membrane through cross-flow filtration. Spherical Bi2WO6 particles were subsequently coated on NCQDs layer by vacuum filtration to fabricate a three-layer composite membrane (TLCM). Close contact between NCQD and Bi2WO6 layers in TLCM formed laminated hetero-junction structure, which decreased the band gap to 2.35 eV from 2.90 eV of Bi2WO6. TLCM showed 99.9% photo-catalytic degradation efficiency (DE) for azo-dye Congo red (CR) under 50 W white light LED for 2.3 h with reaction rate constant of 0.02317 min-1. Possible decomposition products of CR were naphthylamine, benzidine and aniline analyzed by GC-MS. TLCM also displayed 72.23% DE for tetracycline (TC).

    Download PDF (8678K)
  • Sanjay Malik, Binod De, Stephanie Dilocker, Raj Sakamuri, Juliet Kotyk
    2024 Volume 37 Issue 5 Pages 561-566
    Published: June 25, 2024
    Released on J-STAGE: July 31, 2024
    JOURNAL FREE ACCESS

    This paper describes performance characteristics of a photoimageable dielectric organic polymer. Dielectric material used in this study is a preimidized polyimide (PID). Preimidized polymer cured in the temperature range of 170 ℃ to 230 ℃ shows excellent thermal stability and stable thermomechanical properties in a broad cure temperature range compared to its precursor analog (polyamic acid; PAA). PID also demonstrates excellent adhesion on different substrate types. PID retains good peel strength on copper even after 96 hours of HAST. PID has excellent stability against ion migration under multiple reliability test conditions.

    Download PDF (4307K)
feedback
Top