IEICE Electronics Express
Online ISSN : 1349-2543
ISSN-L : 1349-2543
Simple odd number frequency divider with 50% duty cycle
Sangjin ByunChung Hwan SonJae Joon Kim
Author information
JOURNAL FREE ACCESS

2012 Volume 9 Issue 15 Pages 1249-1253

Details
Abstract

A simple odd number frequency divider with 50% duty cycle is presented. The odd number frequency divider consists of a general odd number counter and the proposed duty cycle trimming circuit. The duty cycle trimming circuit can output 50% duty cycle with only additional six transistors. A prototype divide-by-5 circuit with 50% duty cycle was implemented for a 500-Mb/s ∼ 5.6-Gb/s 1: 10 CDR/DEMUX IC in a 0.13µm 1P8M CMOS process.

Content from these authors
© 2012 by The Institute of Electronics, Information and Communication Engineers
Previous article Next article
feedback
Top