Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
Novel High Sensitivity EUV Photoresist for Sub-7 nm Node
Tomoki NagaiHisashi NakagawaTakehiko NaruokaSatoshi DeiSeiichi TagawaAkihiro OshimaSeiji NagaharaGosuke ShiraishiKosuke YoshiharaYuichi TerashitaYukie MinekawaElizabeth BuitragoYasin EkinciOktay YildirimMarieke MeeuwissenRik HoefnagelsGijsbert RispensCoen VerspagetRaymond Maas
Author information
JOURNAL FREE ACCESS

2016 Volume 29 Issue 3 Pages 475-478

Details
Abstract

Extreme ultraviolet lithography (EUVL) has been recognized as the most promising candidate for the manufacture of semiconductor devices for the 7 nm node and beyond. A key point in the successful introduction of EUV lithography in high volume manufacture (HVM) is the effective EUV dose utilization while simultaneously realizing ultra-high resolution and low line edge roughness (LER). Here we show EUV resist sensitivity improvement with the use of a photosensitized chemically amplified resist PSCARTM system. The evaluation of this new chemically amplified resist (CAR) as performed using EUV interference lithography (EUV-IL) is described and the fundamentals are discussed.

Content from these authors
© 2016 The Society of Photopolymer Science and Technology (SPST)
Previous article Next article
feedback
Top