Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
Volume 29, Issue 3
Displaying 1-28 of 28 articles from this issue
  • Ji-Won Lee, Satoshi Makuta, Sonthirid Sukarasep, Jiang Bo, Tsuneo Suzu ...
    2016 Volume 29 Issue 3 Pages 357-362
    Published: June 21, 2016
    Released on J-STAGE: August 08, 2016
    JOURNAL FREE ACCESS
    Sensitization of TiO2 by semiconductor quantum dots (QDs) initiates a primary charge separation in semiconductor quantum dot sensitized solar cells (QDSSCs), and thus is a key fundamental process controlling their solar cell performance. However, despite extensive researches on QD deposition methods, correlation between the solar cell performance and charge transfer dynamics modulated by the different deposition method has rarely been studied. Here, we demonstrate influence of a CdS QD deposition method on their solar cell performance and charge transfer dynamics. Two deposition methods, (i) in-situ QD synthesis directly on the TiO2 surface using a Successive Ionic Layer Adsorption and Reaction (SILAR) method, SILAR-CdS/TiO2, and (ii) linker assisted attachment of pre-synthesized QDs on the TiO2 surface, PSM-CdS/TiO2, were investigated. The electron injection efficiency of SILAR-CdS/TiO2 is estimated to be 96%, while that of PSM-CdS/TiO2 is 40~50%. The charge recombination dynamics are similar for both films. Therefore, the QDSSC efficiency is essentially controlled by the electron injection process from a CdS QD to the TiO2 conduction band.
    Download PDF (989K)
  • Safizan Shaari, Shigeki Naka, Hiroyuki Okada
    2016 Volume 29 Issue 3 Pages 363-368
    Published: June 21, 2016
    Released on J-STAGE: August 08, 2016
    JOURNAL FREE ACCESS
    Physical and electrical properties in pentacene and C8-BTBT-based organic thin film transistors (OTFTs) were investigated. Pentacene and C8-BTBT-based OTFTs were fabricated with various types of interfacial layer to the dielectric surface. Nine types of interfacial layers have been studied: without, CT4112, PMMA, CYTOP, OTS, HMDS, Ta2O5, Si3N4 and HfO2. The physical and electrical properties of OTFTs including carrier mobility, threshold voltage, and on/off ratio were measured so that the relationship between the properties can be investigated. Strong correlation between contact angle and threshold voltage was confirmed. It was observed that high correlation coefficient (R) between contact angle and threshold voltage was 0.83 for the pentacene and 0.61 for C8-BTBT-based OTFTs. We also investigated correlation between XRD intensity and carrier mobility. Carrier mobility for pentacene-based OTFTs correlates with the XRD intensity (R=0.80). In contrast, carrier mobility for C8-BTBT-based OTFTs shows independency on XRD intensity (R=0.37).
    Download PDF (775K)
  • Kazuki Shishido, Hideyuki Nakano
    2016 Volume 29 Issue 3 Pages 369-372
    Published: June 21, 2016
    Released on J-STAGE: August 08, 2016
    JOURNAL FREE ACCESS
    4-[Bis(4-methylphenyl)amino]acetophenone (BMAAP) exhibited solvatofluoro-chromism in solutions but the emission was quenched in ethanol. When a droplet of the ethanol solution of BMAAP was added into water, the resulting aqueous suspension was found to exhibit bright emission upon UV irradiation. It was found that the emission color of the resulting aqueous suspension was changed by vigorous stirring on heating. Morphological change of the aggregated particle of BMAAP plays a role for the emission color change.
    Download PDF (563K)
  • Akifumi Horio, Tsuneaki Sakurai, Vikas S. Padalkar, Daisuke Sakamaki, ...
    2016 Volume 29 Issue 3 Pages 373-377
    Published: June 21, 2016
    Released on J-STAGE: August 08, 2016
    JOURNAL FREE ACCESS
    Highly fluorescent nanowires were produced quantitatively by one-for-one reactions between a high energy charged particle and fluorescent organic molecules via efficient solid-state polymerization reactions. The initiation and propagation reactions take place non-homogeneously along the trajectories of the particles within nm-sized cylindrical area, thus giving uniform nanowires with controlled sizes after isolation by removing non-irradiated (unreacted) molecules by organic solvents. Highly emissive properties of the nanowires even after isolation were clearly observed similar to their initial films, indicating that the polymerization process might not spoil the efficient fluorescent framework of the compound: excited state intra-molecular proton transfer (ESIPT) process. We also demonstrated that the fluorescent nanowires have potential of sensing the trace amount of nitrobenzene that was monitored by fluorescence spectroscopy.
    Download PDF (4157K)
  • Takahiro Sasaki
    2016 Volume 29 Issue 3 Pages 379-382
    Published: June 21, 2016
    Released on J-STAGE: August 08, 2016
    JOURNAL FREE ACCESS
    Advanced packaging technology requires low temperature curable and low residual stress material as dielectric layer. We developed appropriate product based on our previous study and so on. As a result, we used polyimide structure with acidity control of amine unit techniques for polymer and additive A for copper adhesive. And we also evaluated the product regarding various items.
    Download PDF (831K)
  • Yusuke Tsuda
    2016 Volume 29 Issue 3 Pages 383-390
    Published: June 21, 2016
    Released on J-STAGE: August 08, 2016
    JOURNAL FREE ACCESS
    The soluble polyimides were synthesized from diamine monomers having hydrophobic groups such as long-chain alkyl groups, tetracarboxylic dianhydrides such as 3,4'-ODPA (a-ODPA), and DDE as a diamine co-monomer. The thin films of obtained polyimides were irradiated by UV light (λmax; 254 nm or 365 nm) , and the contact angles for the water decreased from near 100o (hydrophobicity) to near 20o (hydrophilicity) in proportion to irradiated UV light energy. From the result of contact angle measurements and the result of the ATR and XPS analysis, it is recognized that the hydrophobic groups on the polyimide surface decrease and the hydrophilic groups such as a carboxyl group and a hydroxyl group generate on their surface. Thus, the surface wettability of these polyimides can be controlled by UV light irradiation, and these methods are expected to be applied in the field of printed electronics.
    Download PDF (2045K)
  • Kenichi Iwashita, Tetsuya Katoh, Akihiro Nakamura, Yasuharu Murakami, ...
    2016 Volume 29 Issue 3 Pages 391-394
    Published: June 21, 2016
    Released on J-STAGE: August 08, 2016
    JOURNAL FREE ACCESS
    As there is an increasing demand for advanced electronic devices, high-density and fine circuit is required more than ever before. However, it is difficult to fabricate fine Cu wiring below 5µm on an organic substrate using current processes such as semi-additive process (SAP). In this paper, the trench wiring formation process with photosensitive organic materials was studied to make fine Cu wiring below 5µm. Photosensitive organic materials were mainly used as protection and insulation layers of very large scale integrated circuit because they simplify via formation processing by photolithography. We newly developed film-type photosensitive insulation material for high-density interposer. The photosensitive insulation film (PIF) showed high resolution (L/S = 3/3µm for 10µm-thick film) and suitability to novel trench Cu wiring formation process. Cu embedded wiring (L/S = 3/3µm for 10µm-thick Cu) was enabled by trench Cu wiring formation process.
    Download PDF (1016K)
  • Jun Mukawa, Seiichirou Takahashi, Chihiro Kobata, Kenzo Ohkita, Shiro ...
    2016 Volume 29 Issue 3 Pages 395-402
    Published: June 21, 2016
    Released on J-STAGE: August 08, 2016
    JOURNAL FREE ACCESS
    Novel bumping technology that can realize high density assembly of IC chips and packages with a high number of I/O is required in the field of electronic packaging. Recently, a novel bumping process called IMS (Injection Molded Solder) was proposed, which enabled direct injection of molten solder into the holes of a photoresist patterned array. In this paper, the current status of photoresist development and recent achievements for obtaining excellent solder filling rates are described. One of the important factors to obtain a high solder filling rate is reducing the amount of outgases from the photoresists at high temperature, as they prevent smooth solder filling into the photoresist holes. In order to solve such an issue, novel photoresists with high thermal stability have been designed and developed.
    Download PDF (7828K)
  • Akinobu Yamaguchi, Hideki Kido, Yuichi Utsumi
    2016 Volume 29 Issue 3 Pages 403-407
    Published: June 21, 2016
    Released on J-STAGE: August 08, 2016
    JOURNAL FREE ACCESS
    We investigate the anisotropic, pyrochemical microetching of poly(tetrafluoroethylene) (PTFE) using X-ray-induced decomposition and scission initiated by synchrotron radiation. The dependence of the anisotropic, pyrochemical-etching characteristics on X-ray photon energy is investigated by comparing the etching depths produced by two beam lines with different X-ray photon energies. Higher X-rays penetrate deeper into the PTFE substrate, resulting deeper etching depth than that by low-energy X-rays. The anisotropic, pyrochemical-etching process sheds light on the fabrication of microfluidic devices and Lab-on-a-Chip made from high-precision PTFE microstructures.
    Download PDF (1677K)
  • Takashiro Tsukamoto, Min Wang, Shuji Tanaka
    2016 Volume 29 Issue 3 Pages 409-412
    Published: June 21, 2016
    Released on J-STAGE: August 08, 2016
    JOURNAL FREE ACCESS
    An infrared thermal imaging device using infrared-to-visible convertor made of Eu(TTA)3 was developed and evaluated. A polymer based structure enabled high thermal isolation with simple structure, which enabled detection of low temperature object such as human. In addition, noise reduction digital methods using averaging filter and Kalman filter were proposed and evaluated. Both digital filters increased signal-to-noise ratio from 8.1 to 18 and 21, respectively, which means both filters had similar noise reduction effect. However, the Kalman filter had faster response compared with the averaging filter, therefore it is suitable for the noise reduction method of the thermal imaging device.
    Download PDF (2419K)
  • Takahiro Shuto, Tatsuyuki Nakatani, Keishi Okamoto, Natsumi Saizaki, S ...
    2016 Volume 29 Issue 3 Pages 413-418
    Published: June 21, 2016
    Released on J-STAGE: August 08, 2016
    JOURNAL FREE ACCESS
    To examine the effects of hydrogenated-tetrahedral amorphous carbon (ta-C:H) on differentiation of osteoblasts and osteoclasts, osteoblastic MC3T3-E1 cells and osteoclastic RAW264.7 cells were cultured on these titanium (Ti) disks with various surface roughness. Real-time quantitative reverse transcriptase-polymerase chain reaction analysis showed that the mRNA expressions of Runx2 and ALP, used as osteoblast differentiation markers, were significantly higher in MC3T3-E1 cells cultured on ta-C:H-coated Ti disks (ta-C:H Ti). On the other side, the mRNA expressions of TRAP and cathepsin K, which are osteoclast differentiation markers, were significantly lower in RANKL-treated RAW264.7 cells. These results, taken together, suggested the possibility that ta-C:H Ti simultaneously altered osteoblast and osteoclast differentiation, which could be preferable for osseointegration acquisition and long-term success of implant therapy.
    Download PDF (1424K)
  • Yasushi Inoue, Haruka Koike, Osamu Takai
    2016 Volume 29 Issue 3 Pages 419-420
    Published: June 21, 2016
    Released on J-STAGE: August 08, 2016
    JOURNAL FREE ACCESS
    This study investigated the size, dispersion and agglomeration of the microparticles deposited by PECVD.
    Download PDF (676K)
  • Masuhiro Kogoma, Kazuo Takahashi, Kunihito Tanaka
    2016 Volume 29 Issue 3 Pages 421-425
    Published: June 21, 2016
    Released on J-STAGE: August 08, 2016
    JOURNAL FREE ACCESS
    During the past decade, we have examined the surface improvement of PVF, FEP, PFA, and PTFE films by APGD system. Their adhesive strength with an epoxy resin could be improved that attained by different kind gas introduction to the plasma system. Last year, new idea using NH4/H2O as the treating gas was proposed in the low pressure glow discharge (LPD) to treat PTFE by T. Yajima et al. So we examined the surface effects in both process, APGD and LPD, using NH4/H2O mixture. APGD treatment could not have any chemical effect on the PTFE surface. On the other hand, LPD, we got the proof of super hydrophilicity on the PTFE. It seemed that in the low pressure, a slow sputtering will be a preceding reaction on the PTFE even used the NH4/H2O. The difference of the kinetics was considered in the evaluation of both processes, APGD and LPD.
    Download PDF (637K)
  • Jun-Seok Oh, Hideki Yajima, Keiya Hashida, Tsunehisa Ono, Tatsuo Ishij ...
    2016 Volume 29 Issue 3 Pages 427-432
    Published: June 21, 2016
    Released on J-STAGE: August 08, 2016
    JOURNAL FREE ACCESS
    Ozonated water was investigated using a conventional UV-Vis spectrophotometer. We compared the UV absorption spectra of ozone (O3) in water generated by either O2 or air plasma bubbling method. The results noted that the observing the entire absorption spectrum is important for understanding the composition of ozonated water. Using an in-situ with an O2 plasma bubbling in water we monitored the time-resolved UV absorption spectrum of the ozonated water. It is well known that a typical UV absorption spectrum of ozonated water contains a broad absorption peak at 260 nm and a shoulder below 220 nm. In our time-resolved measurement, however, it initially contained a broad absorption at short wavelength range below 210 nm considerably from dissolved O2. As increase of the ozonation time, it noted that the absorption spectrum indicated the presence of high concentration of H2O2 and O2 as well as relatively lower concentration of O3.
    Download PDF (3879K)
  • Kosei Satahira, Kaoru Nakasone, Tatsuhiko Ihara
    2016 Volume 29 Issue 3 Pages 433-438
    Published: June 21, 2016
    Released on J-STAGE: August 08, 2016
    JOURNAL FREE ACCESS
    In order to realize the sterilization of the medical implements packed by a sterilization bag or medical implements of a complicated structure with tiny gaps, application of the long-lifetime oxygen active species were investigated using H2O-O2 plasma. By the sterilization experiment using BI with the spore of Geobacillus stearothermophilus ATCC 7953 with high heat resistance, it was found that the more the partial pressures of H2O and O2 increased, the more the shorter the required irradiation time for sterilization became. Sterilization time for BI enclosed in the sterilization bag was 15 minutes, when the partial pressure of H2O and O2 were made equal and the total pressure was set to 150 Pa. From the emission spectrum diagnostic result, the reduction of emission intensity based on ・OH or ・O was confirmed with pressure increase, and it suggested that the formation of ・O2- was dominant with the total pressure of 150 Pa or more.
    Download PDF (983K)
  • Shin-ichi Kondo, Tatsuya Kusumoto, Yasushi Sasai, Naoki Doi, Yukinori ...
    2016 Volume 29 Issue 3 Pages 439-442
    Published: June 21, 2016
    Released on J-STAGE: August 08, 2016
    JOURNAL FREE ACCESS
    The polymer nano-film can be fabricated by the crosslinking reaction of Per-6-ABCD and 1,ω-dicarboxylic acid compounds (EBBA) onto the LDPE-StA-PC-SA film. It was also shown that the morphology of polymer nano-film could be controlled by pH. We are now actively elaborating the control of the size of polymer nano-film and the optimization of operational conditions.
    Download PDF (1497K)
  • Reoto Ono, Tetsuya Ohtsubo, Nobuya Hayashi, Reona Aijima, Yoshio Yamas ...
    2016 Volume 29 Issue 3 Pages 443-445
    Published: June 21, 2016
    Released on J-STAGE: August 08, 2016
    JOURNAL FREE ACCESS
    Dielectric Barrier Discharge (DBD), one of the discharge type that occurs atmospheric plasmas, was used, and the different of inactivation effect of oral cancer cells using DBD with very small amount of medium or through medium was evaluated.
    Download PDF (1100K)
  • Yukinori Yamauchi, Masayuki Kuzuya, Yasushi Sasai, Naoki Doi, Shin-ic ...
    2016 Volume 29 Issue 3 Pages 447-450
    Published: June 21, 2016
    Released on J-STAGE: August 08, 2016
    JOURNAL FREE ACCESS
    We have developed a sustained release drug delivery system by formation of a number of fine-micropores with pore sizes mostly ranging from 2 to 10 µm on the outer layer of two-layer tablet with plasma technique. The drug release profile from this system was confirmed in in vitro dissolution experiments. From the beginning of the dissolution test, a uniform release of drug was shown for more than 8 hours without spontaneous disintegration, and sustained release followed during 24-hours period. The results suggest that this system with plasma technique could be useful tool for the formulation strategy to obtain the desirable modulation of accurate drug release kinetics profile, according to its pharmaco- kinetics and therapeutic needs.
    Download PDF (1594K)
  • Jason P. Rolland
    2016 Volume 29 Issue 3 Pages 451-452
    Published: June 21, 2016
    Released on J-STAGE: August 08, 2016
    JOURNAL FREE ACCESS
    We demonstrate a series of materials compatible on Carbon's light-based CLIP technology that exhibit a range of useful properties for final manufactured parts in a variety of applications.
    Download PDF (1370K)
  • Steven Grzeskowiak, Amrit Narasimhan, Eliran Rebeyev, Shresht Joshi, R ...
    2016 Volume 29 Issue 3 Pages 453-458
    Published: June 21, 2016
    Released on J-STAGE: August 08, 2016
    JOURNAL FREE ACCESS
    Optimizing the photochemistry of extreme ultraviolet (EUV) photoresists can lead to faster, more efficient resists needed for implementation of EUV lithography into high volume manufacturing. EUV photoresists must simultaneously meet three requirements: improved resolution, low line edge roughness (LER), and high sensitivity. Common EUV photoresists utilize photoacid generators (PAGs) to improve sensitivity, which is affected by many variables, such as developer choice, developer concentration, PAG quantum yield, etc. Isolating one of these parameters will aid in the optimization of sensitivity. Prior work using alternate methods shows it is possible for resists to generate 5-6 acids per absorbed photon. However, the energy of the weakest bond in a typical PAG molecule is on the order of a few electron volts, it should be possible to reach much higher quantum yields with EUV (92 eV) photons. The photochemistry in EUV lithography is believed to be dominated by the energetic electrons generated from ionization. Investigating the acid generation efficiency for a variety of PAGs and concentrations upon electron exposure may lead to the development of resists with higher quantum yield, improving current EUV photoresist platforms. In this study, the reactions between PAG molecules and electrons were measured by using a mass spectrometer to monitor the levels of small molecules produced by PAG decomposition that outgassed from the film.
    Download PDF (1637K)
  • Kentaro Taki, Akira Mizoguchi, Hiroshi Ito
    2016 Volume 29 Issue 3 Pages 459-464
    Published: June 21, 2016
    Released on J-STAGE: August 08, 2016
    JOURNAL FREE ACCESS
    Porous polyimide films are promising insulators for next generation electronic devices. Recently, we had developed a high-intensity UV exposure apparatus under a high-pressure CO2 gas atmosphere for the production of porous polyimide films. In this study, the cover-layering process of a porous polyimide layer on two different types of flexible print circuits (FPCs) with insulation materials made of solid polyimide and porous polyimide was examined. Although a portion of the pores above and below the copper line of the FPC collapsed, a conformal porous polyimide layer could be formed on the FPC. Through-holes were formed on the porous polyimide film by a laser ablation technique. The surface of through-holes was electro-plated in a copper-plating solution bath. A portion of the pores on the film were filled with copper as the pores were inter-connected and the plating solution penetrated the pores. The results suggest that the pores should be isolated and firm for a cover-layering process with porous polyimide films for next-generation FPCs.
    Download PDF (8496K)
  • Wataru Shibayama, Shuhei Shigaki, Makoto Nakajima, Satoshi Takeda, Ryu ...
    2016 Volume 29 Issue 3 Pages 469-474
    Published: June 21, 2016
    Released on J-STAGE: August 08, 2016
    JOURNAL FREE ACCESS
    EUV lithography has been desired as the leading technology for single nm half-pitch patterning. However, the source power, masks and resist materials still have critical issues for mass production. Especially in resist materials, RLS trade-off is the key issue. To overcome this issue, we are suggesting Dry Development Rinse Process (DDRP) & Materials (DDRM) as the pattern collapse mitigation approach. This DDRM can perform not only as pattern collapse free materials for fine pitch, but also as the etching hard mask against bottom layer (spin on carbon: SOC). In this paper, we especially propose new approaches to achieve high resolution around hp10nm. The key points of our concepts are 1) control PR profiles, 2) new solvent system to avoid chemical mixture, 3) high etching selective DDR materials and 4) high planar DDR materials. This new DDRM technology can be the promising approach for hp10nm level patterning in N7/N5 and beyond.
    Download PDF (5353K)
  • Tomoki Nagai, Hisashi Nakagawa, Takehiko Naruoka, Satoshi Dei, Seiichi ...
    2016 Volume 29 Issue 3 Pages 475-478
    Published: June 21, 2016
    Released on J-STAGE: August 08, 2016
    JOURNAL FREE ACCESS
    Extreme ultraviolet lithography (EUVL) has been recognized as the most promising candidate for the manufacture of semiconductor devices for the 7 nm node and beyond. A key point in the successful introduction of EUV lithography in high volume manufacture (HVM) is the effective EUV dose utilization while simultaneously realizing ultra-high resolution and low line edge roughness (LER). Here we show EUV resist sensitivity improvement with the use of a photosensitized chemically amplified resist PSCARTM system. The evaluation of this new chemically amplified resist (CAR) as performed using EUV interference lithography (EUV-IL) is described and the fundamentals are discussed.
    Download PDF (1490K)
  • Hideaki Tsubaki, Wataru Nihashi, Toru Tsuchihashi, Fumiyuki Nishiya ...
    2016 Volume 29 Issue 3 Pages 479-487
    Published: June 21, 2016
    Released on J-STAGE: August 08, 2016
    JOURNAL FREE ACCESS
    Negative-tone imaging (NTI) with EUV exposure has major advantages with respect to line-width roughness (LWR) and resolution due in part to polymer swelling and favorable dissolution mechanics. In NTI process, both resist and organic solvents play important roles in determining lithography performances. The present study describes novel chemically amplified resist materials based on NTI technology with EUV using specific organic solvents. Lithographic performances of NTI process were described in this paper under exposures using ASML NXE:3300 EUV scanner at imec. It is emphasized that 14 nm hp was nicely resolved under exposure dose of 37 mJ/cm2 without any bridge and collapse, which are attributed to the low swelling character of NTI process. Although 13 nm hp resolution was potentially obtained, a pattern collapse still restricts its resolution in case coating resist film thickness is 40 nm. Dark mask limitation due mainly to mask defectivity issue makes NTI with EUV favorable approach for printing block mask to produce logic circuit. A good resolution of CD-X 21 nm/CD-Y 32 nm was obtained for block mask pattern using NTI with usable process window and dose of 49 mJ/cm2. Minimum resolution now reaches CD-X 17 nm / CD-Y 23 nm for the block. A 21 nm block mask resolution was not affected by exposure dose and explored toward low dose down to 18 mJ/cm2 by reducing quencher loading. In addition, there was a negligible amount of increase in LCDU for isolated dot pattern when decreasing exposure dose from 66 mJ/cm2 to 24 mJ/cm2. On the other hand, there appeared tradeoff relationship between LCDU and dose for dense dot pattern, indicating photon-shot noise restriction, but strong dependency on patterning features. Design to improve acid generation efficiency was described based on acid generation mechanism in traditional chemically amplified materials which contains photo-acid generator (PAG) and polymer. Conventional EUV absorber which comprises of organic compounds is expected to have 1.6 times higher EUV absorption than polyhydroxystyrene based on calculation. However, observed value of acid amount was comparable or significantly worse than polyhydroxystyrene.
    Download PDF (1820K)
  • Kensuke Matsuzawa, Tatsuya Fujii, Shogo Matsumaru, Tomotaka Yamada, Yo ...
    2016 Volume 29 Issue 3 Pages 489-493
    Published: June 21, 2016
    Released on J-STAGE: August 08, 2016
    JOURNAL FREE ACCESS
    EUV lithography is one of the most promising candidate technologies for high volume manufacturing(HVM) of 7nm node beyond. To apply EUV lithography to HVM, high resolution and fast sensitivity with low roughness are required. To improve sensitivity, we developed novel PAG that includes electron withdrawing group (EWG). The PAG showed high acid generation efficiency from our experimental results. Increasing proton source unit in resist matrix also produces high acid generation efficiency. By using the novel PAG and increasing proton source unit ratio in resist matrix, we developed novel resists that produces high resolution patterns with reasonable sensitivity.
    Download PDF (1192K)
  • Hiroto Kudo, Hiroki Ogawa, Hiroki Yamamoto, Takahiro Kozawa
    2016 Volume 29 Issue 3 Pages 495-500
    Published: June 21, 2016
    Released on J-STAGE: August 08, 2016
    JOURNAL FREE ACCESS
    We examined the condensation polymerization of t-butylcalix[8]arene (BCA[8]), p-t-butylcalix[4]arene (BCA[4]), and C-(4-t-butylbenz)calix[4]resorcinarene (BCRA[4]) with 1,3-adamantane dibromoacetate (ADB), yielding soluble polymers poly(BCA[8]-co-ADB), poly(BCA[4]-co-ADB), and poly(BCRA[4]-co-ADB), respectively. These polymers had good solubility, good film forming ability, and good thermal stability. It was anticipated that these polymers were applicable to positive-type resist materials. However, by the examination on the resist sensitivity using EUV exposure system, these polymers were applicable to negative type resist materials using THF as a developer. Furthermore, a negative clear resist pattern with 100nm resolution could be obtained by EB exposure system. These results indicated that poly(BCA[8]-co-ADB) and poly(BCRA[4]-co-ADB) have high potential to offer higher resolution negative pattern using EUV lithography system.
    Download PDF (1010K)
  • Danilo De Simone, Ming Mao, Frederic Lazzarino, Geert Vandenberghe
    2016 Volume 29 Issue 3 Pages 501-507
    Published: June 21, 2016
    Released on J-STAGE: August 08, 2016
    JOURNAL FREE ACCESS
    The use of metals in extreme ultraviolet (EUV) lithography to have the highest productivity with low exposure dose requirements (below 20mJ/cm2) has recently developed an increased interest. The motivation of using metals in the formulation of EUV photoresists stems from the high EUV cross sectional absorption of several metal species that give the opportunity to enhance the EUV absorbance capacity compared to a traditional pure organic resist and thus capture more productive photons, improving the resist sensitivity. The challenge is to keep a high performing switching solubility mechanism, to maintain patterning fidelity and to mitigate shot noise with a better tradeoff between sensitivity and line width roughness (LWR) when compared to a traditional resist. Further, a possible introduction of a metal containing resist (MCR) in a high volume manufacturing (HVM) line opens new scenarios at the contamination and process level about the management of the wafers and tools and MCRs need to demonstrate high capacity to compete with traditional organic photo materials. In this work we have looked at two different aspects of MCRs: the electron response as initial fundamental study on the light-photoresist interaction and the patterning performance in EUVL as manufacturability aspect of such a class of metal photoresists. The obtained results give indication that adding a metal into a CAR formulation may not be sufficient to increase the electron response of such a resist when exposed under the EUV light. Further, an MCR does not necessarily give good EUV lithographic performance, suggesting that the benefit from metals in terms of higher electron response or higher photon absorption can be fully realized only if the chemistry is right. On another hand, when the right chemistry is realized, we demonstrate that the litho-etch integration in a module is feasible for an MCR. In this paper the pattern transfer on stacked wafer for 22nm line-space dense features is successfully demonstrated using an MCR high imaging performance. Furthermore, the cross contamination results on an etch chamber tool are successfully presented. With the demonstrated etch capability, the metal containing resists move a step forward reducing the gap between the R&D and the manufacturing stage.
    Download PDF (3850K)
  • Mufei Yu, Hong Xu, Vasiliki Kosma, Jeremy Odent, Kazuki Kasahara, Emma ...
    2016 Volume 29 Issue 3 Pages 509-512
    Published: June 21, 2016
    Released on J-STAGE: August 08, 2016
    JOURNAL FREE ACCESS
    Methacrylate based nanoparticle materials have been investigated for their negative-tone patterning with DUV (248nm, 254nm), e-beam and EUV lithography, and show promising EUV sensitivity and resolution. In order to further extend the application of this novel class of materials and understand more about the underlying mechanism, we continue to study its dual-tone behavior and the tone-switching process. Catalyzed by a photoradical generator, we have been able to print positive tone line-space patterns with both DUV and e-beam exposure enabled patterning of features with a wide range of line-widths. By monitoring the patterning process, the PEB conditions have been found to be a crucial factor, which determines the solubility and core-ligand interactions.
    Download PDF (1597K)
feedback
Top