詳細検索結果
以下の条件での結果を表示する: 検索条件を変更
クエリ検索: "Immersion"
20,880件中 1-20の結果を表示しています
  • M. Meanhoudt, M. Kocsis, N. Stepanenko, S. O'Brien, D. Van Den Heuvel, D. Vangoidsenhoven, R. Gronheid, M. Benndorf, K. Nafus, W. Fyen, H-W Kim, S. Kishimura, K. Ronse
    Journal of Photopolymer Science and Technology
    2006年 19 巻 5 号 585-591
    発行日: 2006年
    公開日: 2006/09/06
    ジャーナル フリー
    The
    immersion
    -specific watermark defect is discussed in its formation mechanism and in the influence of materials and exposure process. The non-topcoat approach was the basis of the work, where the properties of resist surface itself played the key role. Water droplets left on the resist surface were considered to induce the watermark defect in two possible ways; (1) the droplet is carried over to PEB process and impact the resist properties under the heat, (2) the droplet already evaporates before the PEB leaving some residue on the surface. A notable reduction in the resist dissolution rate was observed in the former case, which could be due to either physical or chemical change in the resist materials triggered by the water, and thereby would result in an unavoidable patterning failure. Therefore it is essential not to leave any water droplets on the surface in preventing the watermark formation. A very much hydrophobic materials design was proven effective in achieving this. The watermark formation was correlated to the scanning speed of
    immersion
    showerhead and the defectivity was evaluated in this perspective. The receding contact angle of the resist surface was found to well correlate to the "allowable" scanning speed, and was concluded that the higher was the better. A resist material was newly designed by using a hydrophobic polymer on this basis and the resist demonstrated a promising results not only in the watermark defectivity but also in lithographic performance.
  • Harry Sewell, Paul Graeupner, Diane McCafferty, Louis Markoya, Nandasiri Samarakone, Paul van Wijnen, Jan Mulkens, Jos Benschop
    Journal of Photopolymer Science and Technology
    2008年 21 巻 5 号 613-620
    発行日: 2008/06/24
    公開日: 2008/09/01
    ジャーナル フリー
    High-n
    immersion
    lithography has been under development for the past three years, the target being to extend Optical Lithography to the 32nm node and beyond. Feasibility studies have been generating results on all aspects of the technology. This paper reports results obtained in key areas: High-n
    Immersion
    Fluid performance and lifetime; High-n Final Lens material development; Defect generation and control.
    High-n
    Immersion
    Fluids have been developed by companies such as DuPont and JSR. The fluids typically have a refractive index of 1.65 and will support a system numerical aperture of >1.55. These new fluids have been engineered to a point where they are now more transparent than water at the exposing wavelength of 193nm, but challenges remain. Test results are reported from the UV The studies indicate that the UV irradiation of the fluid at high doses causes a build up of carbonaceous contamination on the final lens element surface. Cleaning procedures have been developed and calculations of the expected cleaning schedule made.,br>A key requirement for this technology is the availability of high refractive index final lens element material. Final lens element material must have a refractive index >1.70 to support optics design with >1.55NA; it must also have sufficient optical transparency. The availability of viable high-n
    immersion
    fluid means that the supply of optical grade high-n material for the final lens element fabrication is the potential technology show stopper. High-n materials (>1.9) have been identified. Initial technical progress with materials such as LuAG and Spinel has been encouraging; the focus has been on obtaining starting materials that are pure enough to ensure a final optical material with a transparency that is high enough at 193nm wavelength. There is, though, a risk that availability of optical grade material will miss the window for the technology.
    Defect generation is another major concern with this
    Immersion
    Lithography. We have studied the effect on defect generation of using the high-n
    immersion
    fluid instead of water. There are defect generating mechanisms specific to the use of high-n
    immersion
    fluid. High-n
    immersion
    fluid is much more difficult to purify than water, and the purification process can generate particles which must be removed.
    The new high-n
    immersion
    lithography can be assessed with regard to the Semiconductor Industry roadmap. The relatively slow development of high-n optical material implies that the potential for the technology now lies in the context of Double Pattern Processing for application to sub-32nm nodes.
  • M. Meanhoudt, G. Vandenberghe, M. Ercken, S. Cheng, P. Leunissen, K. Ronse
    Journal of Photopolymer Science and Technology
    2005年 18 巻 5 号 571-577
    発行日: 2005年
    公開日: 2005/08/19
    ジャーナル フリー
    At IMEC, one of the first full field 193nm
    immersion
    scanners has been installed, i.e. a XT:1250Di with maximum NA=0.85.
    Immersion
    tools are expected to show the same stability and control as the equivalent dry systems. Therefore CDU, focus and overlay control are being evaluated. New aspects arise with respect to photoresist processing and defectivity due to the interaction with water, such as leaching and water uptake. Resists need to be optimized for this, and in the mean time
    immersion
    top coats are introduced to overcome these issues. These top coats prevent leaching of resist components, but can show new problems such as the occurrence of a mixing layer with the resist or a soaking fingerprint. Resolution enhancement techniques need to be reconsidered taking into account the better process latitudes and imaging performance caused by
    immersion
    . As
    immersion
    will lead to the introduction of NA's larger than 1, simulation studies are started to understand the impact of high incident angles on the mask level such as the effect of mask topography and polarization.
  • Paul A. Zimmerman, Chris van Peski, Bryan Rice, Jeff Byers, Nicholas J. Turro, Xuegong Lei, Juan Lopez Gejo, Vladmir Liberman, Steve Palmacci, Mordy Rothchild, Andrew Whitker, Idriss Blakey, Lan Chen, Bronwin Dargaville, Heping Liu
    Journal of Photopolymer Science and Technology
    2007年 20 巻 5 号 643-650
    発行日: 2007年
    公開日: 2007/09/18
    ジャーナル フリー
    Generation-three (Gen-3)
    immersion
    lithography can be an enabler for the 32nm half-pitch node. For Gen-3 lithography to be successful, however, there must be three major breakthroughs in materials development: high refractive index ("high-index") lenses, high-index
    immersion
    fluids, and high-index photo-resists. Currently a material for a high-index lens element, lutetium aluminum garnet (LuAG), has been identified. However, suitable materials choices remain elusive for both the Gen-3 fluid and resist. This paper reviews the successes and failures in the search for Gen-3 high-index materials.
  • Shinichi Kanna, Haruki Inabe, Kei Yamamoto, Toshiaki Fukuhara, Shinji Tarutani, Hiromi Kanda, Kenji Wada, Kunihiro Kodama, Kenji Shitabatake
    Journal of Photopolymer Science and Technology
    2006年 19 巻 5 号 593-599
    発行日: 2006年
    公開日: 2006/09/06
    ジャーナル フリー
    The
    immersion
    -specific watermark defect is discussed in its formation mechanism and in the influence of materials and exposure process. The non-topcoat approach was the basis of the work, where the properties of resist surface itself played the key role. Water droplets left on the resist surface were considered to induce the watermark defect in two possible ways; (1) the droplet is carried over to PEB process and impact the resist properties under the heat, (2) the droplet already evaporates before the PEB leaving some residue on the surface. A notable reduction in the resist dissolution rate was observed in the former case, which could be due to either physical or chemical change in the resist materials triggered by the water, and thereby would result in an unavoidable patterning failure. Therefore it is essential not to leave any water droplets on the surface in preventing the watermark formation. A very much hydrophobic materials design was proven effective in achieving this. The watermark formation was correlated to the scanning speed of
    immersion
    showerhead and the defectivity was evaluated in this perspective. The receding contact angle of the resist surface was found to well correlate to the "allowable" scanning speed, and was concluded that the higher was the better. A resist material was newly designed by using a hydrophobic polymer on this basis and the resist demonstrated a promising results not only in the watermark defectivity but also in lithographic performance.
  • Mireille Maenhoudt, Geert Vandenberghe, Monique Ercken, Shaunee Cheng, Peter Leunissen, Kurt Ronse
    Journal of Photopolymer Science and Technology
    2006年 19 巻 1 号 5-8
    発行日: 2006年
    公開日: 2006/08/03
    ジャーナル フリー
    The Photopolymer Science and Technology Award No. 062100, the Best Paper Award 2006, was presented to Mireille Maenhoudt, Geert Vandenberghe, Monique Ercken, Shaunee Cheng, Peter Leunissen and Kurt Ronse, all from IMEC, for their outstanding contribution published in Journal of Photopolymer Science and Technology 18(5), 571-577 (2005), entitled "Opportunities and Challenges in
    Immersion
    Lithography".
  • Harry Sewell, Jan Mulkens, Christian Wagner, Diane McCafferty, Louis Markoya, Matthew Lipson, Naundasiri Samarakone
    Journal of Photopolymer Science and Technology
    2007年 20 巻 5 号 651-663
    発行日: 2007年
    公開日: 2007/09/18
    ジャーナル フリー
    A feasibility study is being conducted on the subject of extending the resolution capability of
    immersion
    lithography exposure systems with high-n fluids to 35nm and below. Fluids, for evaluation, are available from chemical vendor companies such as DuPont, Mitsui, and JSR. The new fluids have a refractive index of approximately 1.65. This makes it possible to design optics with a numerical aperture of 1.55, which is a 17% extension on the highest numerical aperture possible with water-based
    immersion
    lithography.
    The feasibility study has examined all the key factors associated with the possible introduction of high index
    immersion
    lithography. These aspects include: fluid handling challenges; UV exposure impact on fluid performance; fluid recycling to mitigate the effects of UV exposure; fluid interaction with resist; and the mechanisms involved in the generation of stains and imaging defects. Imaging tests using interference printing to define profiles in resist at 29nm L/S are reported.
    To complete the analysis, the progress in enabling developments such as final lens element optical materials is reported, and the potential position for the technology in the lithography roadmap is discussed.
  • 稗田 克彦
    精密工学会誌
    2008年 74 巻 5 号 431-434
    発行日: 2008/05/05
    公開日: 2010/08/15
    ジャーナル フリー
  • Harry Sewell, Jan Mulkens, Diane McCafferty, Louis Markoya
    Journal of Photopolymer Science and Technology
    2006年 19 巻 5 号 613-623
    発行日: 2006年
    公開日: 2006/09/06
    ジャーナル フリー
    This paper reports progress in developing and advancing
    Immersion
    Lithography beyond the current water based systems.
    Significant progress has been made in the development of 2nd generation
    immersion
    fluids. Many companies are developing fluids with refractive indices over 1.6. A significant number of these new fluids have been evaluated for application to optical lithography. The results are very promising. Imaging and linewidth control results for many fluids will be reported. It is shown that some of the new fluids are similar in impact on linewidth control performance to water. Some are not. Defect results from fluid droplet tests on resist and topcoats are also analyzed and reported. Results are compared with water. UV irradiation results for the fluids are also detailed. Indications are given that even though the fluid transmission properties of the fluids are degraded, recycling and rejuvenation of the fluid may be viable on the exposure tool.
  • Harry Sewell, Diane McCafferty, Christian Wagner, Louis Markoya
    Journal of Photopolymer Science and Technology
    2005年 18 巻 5 号 579-586
    発行日: 2005年
    公開日: 2005/08/19
    ジャーナル フリー
    The 32nm lithography node is the next major target for optical lithography on the Semiconductor Industry Roadmap. The recently developed water-based
    immersion
    lithography using ArF illumination will be able to provide an optical solution for lithography at the 45nm node, but it cannot achieve the 32nm node as currently defined. EUV is the main solution for the 32nm node, but the evolution of
    immersion
    lithography does represent an opportunity to extend ArF lithography down to the 32nm node.
    This paper reports progress towards achieving 32nm lithography using
    immersion
    lithography. To achieve this next lithographic node will require new, very high refractive index fluids to replace the water used in current
    immersion
    systems.
    Testing and experiments to develop key technology for the 32nm node are reported. These experiments were run using interference
    immersion
    lithography.
    Interference imaging printers have been available for years, and with the advent of
    immersion
    lithography have a new use. Interference
    immersion
    image printing offers the user a rapid, cost-effective way to develop
    immersion
    lithography, particularly at extremely high resolutions. Although it can never replace classical lens-based lithography systems for semiconductor device production, it does offer a way to develop resist and fluid technology at a relatively low cost. Its simple, image-forming format offers easy access to the basic physics of advanced imaging. Issues such as: fluid/resist interaction during exposure; topcoat film performance; line edge roughness of resists at extremely high resolutions; and the polarization of the image-forming light rays can all be readily studied.
    Experiments are described and results are provided for work on: 32nm imaging tests; high refractive index fluid testing using ArF wavelength at resolutions well beyond current lens-based system capabilities; and polarization configuration testing on both 32nm and 45nm L/S features.
  • Shinichi Kanna, Haruki Inabe, Kei Yamamoto, Shinji Tarutani, Hiromi Kanda, Kazuyoshi Mizutani, Kazuyuki Kitada, Shinji Uno, Yasumasa Kawabe
    Journal of Photopolymer Science and Technology
    2005年 18 巻 5 号 603-613
    発行日: 2005年
    公開日: 2005/08/19
    ジャーナル フリー
    The interfacial mass transfer issues of resist components in ArF
    immersion
    lithography were investigated both for topcoat resist system and for non-topcoat resist system. PAGs and photoacids are known for the major components that leach out from the resist to water and topcoat has been simply expected to shut off this leaching. In this study, topcoat layer was actually verified significantly to reduce the PAG leaching, but PAG (or photoacid) diffusion from the resist to topcoat was found as another issue. This resulted in T-top formation in the imaging due to the loss of photoacid nearby the resist surface caused by this diffusion. A material approach to reduce this interfacial diffusion was proposed through a thermodynamic consideration of the materials system. The free energy change for PAG-polymer solubilization is the key and the Solubility Parameter value was considered to represent a good measure of this free energy change. The experimental results well matched this analysis. On the other hand, a perfect suppression of the leaching without using topcoat would be more desirable if it is feasible. The influence of structural parameter of the resist component was investigated. The hydrophobicity of PAG was found to well correlate inversely to the leaching amount of the PAG into water, and thereby a technical guideline was obtained in designing resist materials to make them resistant to the leaching. By optimizing each resist component on this bases, we actually demonstrated a resist that showed the PAG leaching level reduced down to 10-13[mol/cm2], which we believe far below to what we are today with conventional dry resists. A resist sample was thus developed targeting the non-topcoat approach and was examined for its imaging performance with a realistic
    immersion
    lithography tool without using any topcoat. The resist actually showed good imaging results fully with the benefits that we expected with the
    immersion
    exposure.
  • 池田 優二, 小林 尚人
    精密工学会誌
    2017年 83 巻 4 号 313-318
    発行日: 2017/04/05
    公開日: 2017/04/05
    ジャーナル フリー
  • 浅沼 義英, 藤屋 秀一, 井出 肇, 阿岸 祐幸
    日本温泉気候物理医学会雑誌
    1988年 51 巻 4 号 199-206
    発行日: 1988年
    公開日: 2010/08/06
    ジャーナル フリー
    この研究は, 温水浴が人の静脈血中のα-Atrial Natriuretic Peptide (ANP), cyclic GMP, Arginine Vasopressin (AVP), plasma Renin Activity (PRA) および Aldosterone におよぼす急性効果について検討した。10名の健常な男性 (平均年齢: 20.5歳) で40℃の入浴を, 安静座位で10分間行った。ANPは前値の19pg/mlから, 温浴10分目に最高値34pg/mlへと有意に上昇した (p<0.001)。これは, その後の20分のあいだ有意に増加していたが, 次第に減少して, 50分目には入浴前のレベルに回復した。C-GMPはANPとよく似た時間経過の反応を示した。また, 両者には有意の正相関がみられた (p<0.001)。AVPは水浴の直後には変化はなかったが, 20分後に上昇する傾向がみられた。PRAと Aldosterone は前値からの変化率で, ANPより少し遅れて15から30分後に上昇したが, その変化度の有意性はANPより低かった(p<0.05)。これらの結果から, 水浴によるANPの分泌は, 中心静脈血量の増加の持続時間が短くても, 温熱刺激によって増強されると思われた。水浴によるAVPやレニン-アルドステロン系への抑制効果はわずかであったが, 逆に, 温浴ではそれらの反跳的な上昇をもたらした。C-GMPはANPの変化と密接に関連する指標の一つであると考えられる。
  • 第1報 基礎的研究
    菅井 芳郎
    日本温泉気候物理医学会雑誌
    1991年 54 巻 4 号 205-210
    発行日: 1991年
    公開日: 2010/04/30
    ジャーナル フリー
    It has been reported that thermoneutral (34.5°C) water
    immersion
    (WI) up to the neck causes remarkable natriuresis and suppression of plasma renin activity (PRA), however the mechanism is not fully understood.
    This present study consists of three experiments comparing the effect of WI in seated posture for 60-90min. with that of sitting posture in the air (Exp. 1); with that of WI in supine posture (Exp. 2); and with that of cold (25.0°C)
    immersion
    for 10min. (Exp. 3).
    Subjects were all healthy young men aged 20-25.
    The room temperature was 27-29°C.
    On Fig. 1 it can be seen that the suppression of PRA by WI was significant as compared with the preimmersion condition, while no significant change was observed in the control study.
    The suppression of PRA in Exp. 2 was observed to be almost equal whether in the supine posture or seated.
    On Fig. 3 the levels of PRA rapidly decreased with cold water
    immersion
    . The percent decrease of PRA both immersions were correlated (r=0.69, p<0.05) for this figure.
    From these data, it is postulated that suppression of PRA by thermoneutral water
    immersion
    was modified by the posture during
    immersion
    . This suggests we should take into consideration neurotic factors in PRA suppression other than just hydrostatic pressure and resulting increase in central blood volume.
  • Xin Fu, Wenyu Chen, Ying Chen, Xiaodong Ruan, Huayong Yang
    Proceedings of the JFPS International Symposium on Fluid Power
    2008年 2008 巻 7-1 号 221-224
    発行日: 2008年
    公開日: 2011/11/08
    ジャーナル フリー
    For most of the microelectronics industry history, optical lithography has been the backbone for continuing the trend of making features even smaller. The intention of
    immersion
    lithography is to increase the index of refraction in the space between the lens and wafer by introducing a high refractive index liquid in place of the low refractive index air that currently fills the gap. Because the liquid acts as a lens component during scan-step process, it must maintain a high and uniform optical quality. Thus, an
    immersion
    unit structure must be implemented to keep the flow field from leaking. After analyzing the mechanic of the flow-field in
    immersion
    lithography, an
    immersion
    unit structure with double gas-curtain sealing and gas-fuid mixing phase collecting was designed and implemented, featuring chemical surface characteristics. Experimental results were analyzed in terms of vacuum degree in collection antrum, input pressure for gas sealing, bubble-trap within flow field, double gas-curtain, double mixing-phase collection, surface characteristics of wafer.
  • Makiko Irie, Kotaro Endo, Takeshi Iwai
    Journal of Photopolymer Science and Technology
    2006年 19 巻 4 号 565-568
    発行日: 2006年
    公開日: 2006/09/01
    ジャーナル フリー
    The surface property of the resist film is critical for photoresist processing especially for
    immersion
    lithography. To control the interaction between resist film and water fluid, the polymer blend between the conventional acryl polymer and the fluorine-containing homo-polymer are examined. The polymer blend successfully modifies the film surface as verified by the dynamic contact angle measurement. The distribution of the blend polymer in the film gives an impact to the surface property. The surface can be also controlled by the use of blended polymer without any impact on the pattern profile at 193nm dry exposure. However, the optimization in resist formulation is necessary to achieve both the hydrophobic surface and imaging performance for a topcoat-less resist processing.
  • Toshio Sakurai, Heihachiro Okabe, Takeshi Isoda
    Bulletin of The Japan Petroleum Institute
    1970年 12 巻 142-145
    発行日: 1970年
    公開日: 2008/12/26
    ジャーナル フリー
    The heat of
    immersion
    of zinc oxide was studied, using a calorimeter. From the experimental results the following conclusions were obtained:
    The heats of
    immersion
    of zinc oxidin water and in n-hexyl alcohol increase at about 300°C of the degassing temperature of the sample powder, and show a maximum at about 400°C. This is not brought about by either the heat of hydration of surface OH group or the heat of hydrogen bonding of the surface, as in the case of other oxides such as silica, alumina, or titania. The surface reaction acidic or basic centers does not cause the generation of heat of
    immersion
    in these systems;
    In the systems of zinc oxide and water or n-alcohol, the heat of
    immersion
    depends on the heat of formation of an electrical double layer which accompanies the adsorption of protons;
    The heat of
    immersion
    of zinc oxide in water or in n-alcohol depends on the amount of lithium and aluminum ions added and shows a fair dependency on the surface concentration of interstitial zinc atoms. Therefore, the adsorption center of the proton is considered to be the interstitial zinc atom on the surface.
  • Makiko Irie, Kotaro Endo, Takeshi Iwai
    Journal of Photopolymer Science and Technology
    2007年 20 巻 1 号 5-6
    発行日: 2007年
    公開日: 2007/08/03
    ジャーナル フリー
    The Photopolymer Science and Technology Award No. 072100, the Best Paper Award 2007, was presented to Makiko Irie, Kotaro Endo, and Takeshi Iwai, all from Tokyo Ohka Kogyo Co., Ltd., for their outstanding contribution published in Journal of Photopolymer Science and Technology 19(4), 565-568 (2006), entitled "Surface Property Control for 193nm
    Immersion
    Resist".
  • Takeharu SENO, Yoshiko NAGATA
    映像情報メディア学会技術報告
    2015年 39.43 巻 HI2015-60
    発行日: 2015/11/06
    公開日: 2017/09/22
    会議録・要旨集 フリー
    We examined the relationship between the degree of sense of
    immersion
    (one aspect of our human personality) and visually induced self-motion perception (vection). A psychological experiment with radially expanding patterns that induced self-motion perception was conducted, followed by an assessment of the sense of
    immersion
    . The participants rated the applicability to just four simple questions. We found that vection strength correlated positively with the degree of the sense of
    immersion
    .
  • Masaaki Yoshida, Kotaro Endo, Keita Ishizuka, Mitsuru Sato
    Journal of Photopolymer Science and Technology
    2004年 17 巻 4 号 603-607
    発行日: 2004年
    公開日: 2005/03/23
    ジャーナル フリー
    This paper concentrates on the affect of resist elution to
    immersion
    lithography. Resist elution negatively impacts resist performance and causes contamination to the optics in
    immersion
    lithography. Two concepts will be discussed that minimize the resist elution issue when water is used as the
    immersion
    fluid. One countermeasure approach is to optimize the resist formulation and the resist process. Our study indicates that the process influences elution during resist exposure and baking steps and optimization of these steps is necessary. Also, resist components, such as photo acid generator, amine quencher, and casting thinner, can affect elution. The second approach is the application of a cover material on the resist film. A new developable cover material will be introduced that would eliminate both the elution issue as well as an additional removal step. The new developable cover material will also offer good imaging performance for 45nm lines, using a two-beam interference exposure tool.
feedback
Top