Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
12 巻, 3 号
選択された号の論文の15件中1~15を表示しています
  • D. Pasini, Q.J. Niu, R.P. Meagley, D.C. Tully, A.R. Trimble, J.M.J. Fr ...
    1999 年 12 巻 3 号 p. 405-416
    発行日: 1999年
    公開日: 2006/08/04
    ジャーナル フリー
    We have designed and synthesized a series of novel nortricyclene and other cycloaliphatic homo-and co-polymers incorporating at high carbon to hydrogen ratio and various structural units for use in 193nm microlithography. The various macromolecules are prepared using a radical cyclopolymerization process involving norbornadienes or other suitable bis-olefinic moieties modified with an imageable functionality. The physical properties of the cyclopolymers may be tuned through copolymerization and simple modifications in the structure and composition of the monomers. The resulting materials may be formulated with a suitable photoacid generator to afford chemically amplified resists. The resists exhibit outstanding dry-etch resistance, good adhesion to silicon, good transparency at 193nm and are uncontaminated by metal catalysts. Development with standard aqueous base affords positive-tone images with resolution of 0.16μm and better. The concept of using self-assembled monolayers of dendrimers for molecular scale imaging using scanning probe lithography is also outlined.
  • T. Hiramoto, H. Ishikuro, H. Majima
    1999 年 12 巻 3 号 p. 417-422
    発行日: 1999年
    公開日: 2006/08/04
    ジャーナル フリー
    Single electron transistors and memories for VLSI applications are fabricated and their characteristics are intensively investigated. It is shown that single electron transistors operating at room temperature are affected by quantum confinement effects and are very sensitive to the device size. Single electron memories with narrow channel MOSFETs also have large characteristics fluctuations. These results indicate that high resolution lithography with very high accuracy is strongly required for future giga-bit level single electron devices. MOSFETs with very narrow channel are also fabricated by electron- beam lithography, and the dependence of size fluctuations and drain current fluctuations on resist material is examined.
  • Murrae J. Bowden, Allen H. Gabor, Ognian Dimov, Arturo N. Medina, Patr ...
    1999 年 12 巻 3 号 p. 423-432
    発行日: 1999年
    公開日: 2006/08/04
    ジャーナル フリー
    The performance of a 193nm single layer resist based on a norbornene-malefic anhydride matrix resin has been optimized through a series of statistical design experiments. The SDE demonstrated the importance of setting the PEB temperature above the SB temperature with optimum performance being observed at a SB of 150°C and a PEB of 160°C. The lithographic performance of the resist was also strongly influenced by polymer composition, specifically acrylate loading and blocking level. Optimizing the composition of the polymer, gave resists with high etch resistance, square profiles and 0.130 micron dense line ultimate resolution in 0.5 micron thick films. The resist formulations are compatible with industry standard 0.262N TMAH. During exposure the resist does not suffer from the outgassing of volatile species (less than 1e12 molecules/cm2 x sec). A new thermally curable undercoat for bi-layer application has also been developed whose optical properties have been optimized to reduce reflectivity at the desired wavelength. The reflectivity has been reduced by a factor of eight over an earlier UV-cured version.
  • R. Dammel, M. Cook, A. Klauck-Jacobs, T. Kudo, S. Mehtsun, J. Oberland ...
    1999 年 12 巻 3 号 p. 433-444
    発行日: 1999年
    公開日: 2006/08/04
    ジャーナル フリー
    Among the chemistries/polymers reported for the 193nm photoresist applications, methacrylate copolymers consisting of 2-methyl-2-adamantane methacrylate (2-MadMA) and mevalonic lactone methacrylate (MLMA) and cycloolefin polymers derived from derivatives of norbornene have shown promising results. We have studied the lithographic properties of these two different promising chemistries. Both systems offer linear resolutions down to 130nm dense lines using conventional 193 nm illumination and high sensitivity at standard developer conditions. The etch rates of the methacrylate and cycloolefin based resists for polysilicon were found to be 1.4 and 1.3 times higher than that of novolak resist. Calculation of the normalized image log-slope (MILS) for both resist types shows that they can still resolve aerial images with a NILS of about 1.0, which is also confirmed by their 248nm performance. The potential of 193nm lithography is estimated at 110nm dense line resolution if resists can be improved to match the performance of dense line resolution if resists can be improved to match the performance of the best current 248nm resists which can resolve NILS values of about 0.7
  • A.M. Goethals, P. Jaenen, I. Pollers, F. van Roey, K. Ronse, B. Heskam ...
    1999 年 12 巻 3 号 p. 445-455
    発行日: 1999年
    公開日: 2006/08/04
    ジャーナル フリー
    With the introduction of full field step&scan systems, 193nm technology development is currently being accelerated and resists are used closer to their final area of application, i.e. under realistic conditions of lens aberrations, stray-light and wafer coverage. In this paper, the lithographic performance of advanced 193nm resist materials has been evaluated on a full field step&scan system. Single layer and hi-layer resist processes are compared in terms of performance and complexity. Very similar lithographic performance is observed for both the single layer and the bi-layer approaches at 130nm. Optimization of illumination conditions (NA, sigma) is investigated as a way to enlarge processing windows and to reduce iso-dense bias. The application of a PSM illustrates the extendibility of 193nm lithography for the 100nm technology node. In general, significant progress in resist performance has been made but further improvements are needed before 193nm resists will reach the maturity level of today's state-of-the-art 248nm resists.
  • Narayan Sundararajan, Christopher F. Keimel, Navin Bhargava, Christoph ...
    1999 年 12 巻 3 号 p. 457-467
    発行日: 1999年
    公開日: 2006/08/04
    ジャーナル フリー
    As the resolution of photoresists is being pushed to its limits, it becomes critical to understand the fundamental mechanisms and interactions among the various components in a photoresist. Chemically amplified photoresist systems have added components such as photoacid generators and dissolution inhibitors. Understanding their diffusion characteristics and distribution within the resist thin film becomes important in the design of effective photoresist formulations capable of better imaging performance. We have used Rutherford Backscattering Spectrometry (RBS) as a tool for investigating the diffusion and distribution characteristics of selected photoacid generators and additives in photoresists.
  • Jae-Chang Jung, Hyeong-Soo Kim, Ki-Ho Baik
    1999 年 12 巻 3 号 p. 469-476
    発行日: 1999年
    公開日: 2006/08/04
    ジャーナル フリー
    To overcome post exposure delay (PED) effect caused by airborne contamination, novel concept of rheological approach was introduced. By changing the polymer structures in resist solution, shear thinning resists were made. The method to make shear thinning resist includes, using of shear thinning solvent, modification of polymer structure, and temperature control of resist solution. Shear thinning resists exhibit good PED stability.
  • Toshiaki Aoai, Kenichiro Sato, Kunihiko Kodama, Yasumasa Kawabe, Hajim ...
    1999 年 12 巻 3 号 p. 477-486
    発行日: 1999年
    公開日: 2006/08/04
    ジャーナル フリー
    Syntheses of new alicyclic (meth)acrylate polymers containing androstane moieties, especially cholic acid derivatives, and their characteristics were investigated for 193nm single layer resist. Among the derivatives, a deoxycholic acid structure was selected from the viewpoints of its ability for dry-etching resistance, adhesion on a substrate, and solubility for resist solvents. A work of adhesion, Ohnishi and ring parameters were used as measures for the adhesion and the dry-etching resistance in this study.
    In the syntheses of the polymers, the use of 3-β-methacryloyloxy-deoxycholic acid, which is the inverse configuration against the original 3-α-structure, was effective as a monomer, because the steric hindrance at 3-α-position degraded its polymerization ability. The polymers partially protected by acid labile groups showed a satisfactory adhesion, which was probably due to the hydrophilic hydroxyl group at the 12-position and the carboxyl group linked at the 17-position, and a good dry-etching resistance. On the lithographic imaging with these polymers, the reduction of the side reaction on the acid decomposition and also the control of the flexibility on the polymers largely affected their performance. The adjustment of the Tg values of the polymers by the co-polymerization and the change of the polymer backbone from the methacrylate to acrylate structure performed well on imaging under 193nm exposure.
  • Shigeyuki Iwasa, Katsumi Maeda, Etsuo Hasegawa
    1999 年 12 巻 3 号 p. 487-492
    発行日: 1999年
    公開日: 2006/08/04
    ジャーナル フリー
    We have evaluated the effects of polymer structure on chemically amplified negative resists in terms of alkaline solubility, adhesion, and lithographic performance. Poly(hydroxytricyclo [5.2.1.02, 6]decyl acrylate (TCDAOH)67-carboxytetracyclo[4.4.0.12, 517, 10]dodecyl acrylate (CTCDDA)33) exhibits sufficient alkaline solubility (dissolution rate (DR): 0.56μm/s in 2.38wt% TMAH solution) for use as a negative resist polymer, and a resist based on this polymer provides 0.17 μm line-and-space (L/S) resolution. By way of contrast, poly(TCDAOH60- CTCDDA40) (D.R.: 0.95μm/s) dose not provide good resolution because the developer permeates into the pattern. To improve adhesion, we have developed three new acrylate monomers: 3, 4-epoxytricyclo[5.2.1.02, 6]decyloxyethyl acrylate (ETCDEA), 5-acryloyloxy-6-hydroxynorbornane-2-carboxylic 6-lactone (AHNCL), and 3, 4-dihydoroxy tricyclo[5.2.1.02, 6]decyloxyethyl (meth)acrylate (DTCDE(M)A). A resist based on poly(TCDAOH64-CTCDDA31-DTCDEA5) provided a 0.15-μm- resolution isolated line pattern, and a resist based on poly(ETCDEA42-CTCDDA32- AHNCL26) provided a 0.13-μm-resolution isolated line pattern. Both patterns were free of pattern stripping.
  • P. Rao Varanasi, A. M. Mewherter, M. C. Lawson, G. Jordhamo, R. Allen, ...
    1999 年 12 巻 3 号 p. 493-500
    発行日: 1999年
    公開日: 2006/08/04
    ジャーナル フリー
    Using substituted poly(norbornenes), we have developed an etch-resistant, high resolution single layer 193nm positive resist. This paper describes the optical absorption properties, oxide-etch characteristics and resolution capabilities of such a first generation IBM resist.
  • R. D. Allen, J. Opitz, H. Ito, T. I. Wallow, D. V. Casmier, C. E. Lars ...
    1999 年 12 巻 3 号 p. 501-507
    発行日: 1999年
    公開日: 2006/08/04
    ジャーナル フリー
    This paper will describe the strengths and unique challenges of building 193nm resists from acrylic polymers and cyclic olefin polymers. In particular, cyclic olefin (alternating) copolymer synthesis and properties will be discussed.
  • Takuya Naito, Takeshi Ohfuji, Masayuki Endo, Hiroaki Morimoto, Koji Ar ...
    1999 年 12 巻 3 号 p. 509-514
    発行日: 1999年
    公開日: 2006/08/04
    ジャーナル フリー
    Pinanediol monosulfonate derivatives were used as acid amplifiers for chemically amplified ArF resists. A highly transparent acid amplifier free of aromatics improved the sensitivity of the resist without sacrificing. the resolution. Adding this acid amplifier to an ArF resist doubled its sensitivity, and 0.15μm line-and-space patterns were resolved.
  • Benedicte Mortini, Severine Gally, Pierre-Olivier Sassoulas, Alain Pro ...
    1999 年 12 巻 3 号 p. 515-524
    発行日: 1999年
    公開日: 2006/08/04
    ジャーナル フリー
    193nm lithography requires new resist formulations to achieve transparency requirements for single layer resist. In this paper, the properties of two 193nm chemically amplified resists, one based on the methacrylate chemistry and the other on the alicyclic platform have been investigated as a function of process conditions. Experiments have been focused on the different behaviors of these two resist platforms during the Soft Bake (recompaction rates) and the Post Exposure Bake (prominant mechanisms in the exposed resist areas: reaction or diffusion controlled phenomena).
    The lithographic performance of the methacrylate based resist has been extensively evaluated for various bake processes, and additionnal formulation effects have been pointed out.
  • F. M. Houlihan, I. L. Rushkin, R. S. Hutton, A. G. Timko, E. Reichmani ...
    1999 年 12 巻 3 号 p. 525-535
    発行日: 1999年
    公開日: 2006/08/04
    ジャーナル フリー
    The effect of different photoadditives in high and low activation energy resist resins on resist outgassing during lithographic exposure was studied by quartz microbalance and gas chromatography/mass spectroscopy techniques. The resist outgassing was analyzed both qualitatively and quantitatively and structure-property relationships were developed between resist outgassing and the molecular structure of photoacid generators (PAG) and other additives. The photoadditives examined included, aryl iodonium perfluoroalkylsulfonates, triarylsulfonium perfluoroakylsulfonates, photogenerators of sulfamic acids, 2-nitrobenzyl PAG's and doxyl derivatives. It was found that during exposure sulfonium salt formulated resists gave a lower outgassing of aromatic compounds than iodonium salt formulated resists while a formulation with a nitrobenzyl PAG did not show any aromatic materials outgassing. The use of a stable free radical additive, methyl 5-doxyl stearate, in a resist formulated with an iodonium salt was found to also dramatically reduce outgassing of aromatic compounds probably through termination of triplet state radical photoproducts. Sweet PAG resist formulations were found to have greatly decreased outgassing during exposure originating from the cleavage of low activation energy acetal protecting groups.
  • Takashi Hattori, Yuko Tsuchiya, Yoshiyuki Yokoyama, Hiroaki Oizumi, Ta ...
    1999 年 12 巻 3 号 p. 537-544
    発行日: 1999年
    公開日: 2006/08/04
    ジャーナル フリー
    We have examined alicyclic polymers with a γ-hydroxy acid structure in order to investigate the properties of γ-hydroxy acid and γ-lactone as function groups of ArF negative resist materials. From the viewpoint of transparency and dry-etching resistance, γ-hydroxy acid and γ-lactone structure were found to be suitable for ArF negative resist materials. The reactivity of the acid-catalyzed reaction of γ-hydroxyacid is affected by the polymer structure. Using ArF excimer laser stepper, 0.20-μm line-and-space patterns without micro-swelling distortion were obtained from a negative resist consisting of an alicyclic polymer with the γ-hydroxy acid structure and a photoacid generator. Distortion was avoided because the number of carboxyl groups decreased drastically in the exposed area by the acid-catalyzed intramolecular esterification of γ-hydroxy acid to γ-lactone. As a result, γ-hydroxy acid and γ-lactone structure were found to be suitable function groups for ArF negative resist materials.
feedback
Top