Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
22 巻, 5 号
選択された号の論文の27件中1~27を表示しています
  • Motohiro Uo, Eiki Kudo, Aya Okada, Kohei Soga, Yasuo Jogo
    2009 年 22 巻 5 号 p. 551-554
    発行日: 2009/06/30
    公開日: 2009/09/17
    ジャーナル フリー
    A composite resin (CR) with photo-polymerization is widely used for dental filling material. Current CR has a restriction on the photo-polymerization depth because of the scattering of irradiated blue visible (VIS) light. Rare earth doped Y2O3 particles (Y2O3:RE) are known to emit blue VIS light under near infrared (NIR) excitation by an upconversion process. The particles can act as both filler to reinforce and illuminator to cure the resin by emitting blue VIS light under the irradiation of the NIR light, which propagates more deeply due to its longer wavelength. In this study, CR with Y2O3:RE was prepared. The Young's modulus of CR with Y2O3 was comparable with that of commercial CR, however, the hardness was lower than that of commercial CR. CR with Y2O3:RE was successfully cured with NIR irradiation. Then, the feasibility of Y2O3:RE as the NIR polymerization initiator using its upconversion emission for CR was suggested.
  • Junji Miyazaki, Akira Kawai
    2009 年 22 巻 5 号 p. 555-559
    発行日: 2009/06/30
    公開日: 2009/09/17
    ジャーナル フリー
    The characteristic of a photomask strongly impacts lithography performance since it is part of the optical system. In this paper, we investigate characteristics of photomask substrate flatness impact on optical lithography. It is demonstrated that high and mid spatial frequencies of substrate flatness variation are too small enough to affect lithographic performance. However, a low spatial frequency of flatness variation could cause a focal plane deviation. We show that the flatness of exposure area after tilt and curvature correction directly corresponds to an image plane deviation.
  • Akihiro Takano, Akira Kawai
    2009 年 22 巻 5 号 p. 561-564
    発行日: 2009/06/30
    公開日: 2009/09/17
    ジャーナル フリー
    A self-standing resist (SSR) film in hexagonal lattice structure is fabricated and tested its destruction strength. The resist film formed on a glass substrate is removed from the glass substrate by wet etching. As a result, higher destruction strength of the SSR film with micro-hexagonal hole array can be obtained compared with that without holes.
  • Jin-Kyun Lee, Priscillia G. Taylor, Alexander A. Zakhidov, Hon Hang Fo ...
    2009 年 22 巻 5 号 p. 565-569
    発行日: 2009/06/30
    公開日: 2009/09/17
    ジャーナル フリー
    Organic electronics is an extensively studied subject opening new horizons in electronics technology. It has attracted great attention as a technology to enable flexible electronic devices through solution processing of organic and polymeric materials. However, patterning of organic materials to construct device components still remains one of the major hurdles to be overcome due to problems with chemical processing. Fundamentally this challenge originates from the limited number of options regarding orthogonal solvents. Recently, we have identified supercritical carbon dioxide (scCO2) and segregated hydrofluoroethers (HFEs) as universal, non-damaging solvents for most non-fluorinated polymeric materials. These unconventional solvents expand processing options from the two-dimensional plane to three-dimensional space by drawing another orthogonal axis. Taking advantage of those noble solvents and fluorinated photoresists, we were able to make patterns of functional organic materials photolithographically. Furthermore, our orthogonal processing method has been applied to the fabrication of a patterned polymer light-emitting device in scCO2 and an organic thin-film transisotor in HFEs.
  • Satoshi Watanabe, Tetsuya Aoyama, Yasumasa Fukuchi, Takufumi Sassa, Ta ...
    2009 年 22 巻 5 号 p. 571-574
    発行日: 2009/06/30
    公開日: 2009/09/17
    ジャーナル フリー
  • James V. Crivello
    2009 年 22 巻 5 号 p. 575-582
    発行日: 2009/06/30
    公開日: 2009/09/17
    ジャーナル フリー
    This paper documents recent progress in the development of efficient onium salt PAGs and discusses their implementation in a number of imaging and non-imaging applications. Discussed herein is the synthesis of novel onium salt PAGs and their tailored modification to achieve good solubility and thermal stability. Two methods for spectral broadening to achieve long wavelength UV and visible light sensitivity by electron-transfer photosensitization and free radical promoted sensitivity are described. The development of cationically polymerizable epoxy, oxetane and vinyl ether monomers is discussed. Lastly, the use of optical pyrometry as a new method for the monitoring of the photoresponse of various PAGs and the reactivity of photopolymer is described.
  • Haruyuki Okamura, Hideaki Naito, Masamitsu Shirai
    2009 年 22 巻 5 号 p. 583-586
    発行日: 2009/06/30
    公開日: 2009/09/17
    ジャーナル フリー
  • J. Lalevee, M. E. I. Roz, M. A. Tehfe, M. Alaaeddine, X. Allonas, J. - ...
    2009 年 22 巻 5 号 p. 587-590
    発行日: 2009/06/30
    公開日: 2009/09/17
    ジャーナル フリー
    New compounds based on the silyl radical chemistry are presented as coinitiators for free radical photopolymerization (FRP) or sources of radicals for free radical promoted cationic photopolymerization (FRPCP). The ability of these structures in the initiation of both FRP and FRPCP processes is investigated. High rates of polymerization and high final conversions are obtained. Laser flash photolysis is used for the analysis of the silyl radical formation.
  • Koichi Kawamura, Takuma Amemiya, Yoshihiro Nakai, Masanobu Takashima
    2009 年 22 巻 5 号 p. 591-596
    発行日: 2009/06/30
    公開日: 2009/09/17
    ジャーナル フリー
    A merocyanine dye (D) has been attached covalently to a substituted bis(trichloromethyl)-1,3,5-triazine initiator (T) to give the dye-linked initiator (D-T) in order to reveal the effect of the linked systems on the efficiency of photopolymerization. Fluorescence spectroscopy indicates efficient intramolecular quenching of D emission by T in the dye-linked initiator (D-T) compared to intermolecular quenching in a simple mixture of the dye and the initiator (D/T). The relative photoinitiating efficiency of dye-linked initiators in photopolymerization of acrylates was also evaluated and D-T showed higher photosensitivity than the D/T mixtures. The mechanism of radical generation of D-T was proposed and the effect of size of the generating radical was evaluated by a chain transfer agent.
  • Toshiyuki Oyama, Shintaro Sugawara, Yuji Shimizu, Xu Cheng, Masao Tomo ...
    2009 年 22 巻 5 号 p. 597-602
    発行日: 2009/06/30
    公開日: 2009/09/17
    ジャーナル フリー
    A film of commercially available polyetherimide (PEI, Ultem(R)) containing diazonaphthoquinone (DNQ) and N-phenylmaleimide (PMI) was irradiated by UV light from high-pressure mercury lamp through a photomask and developed with a solution containing tetramethylammonium hydroxide (TMAH) to give negative-tone patterns with high sensitivity. PMI was found to retard dissolution of the exposed areas. PEI was dissolved into the developer as poly(amic acid) resulted from reaction of the imide groups in PEI with OH-. PMI is considered to react with OH- in the developer to give anionic intermediates, and at unexposed areas, the intermediates would regenerate OH- by reaction with water in the developer. At exposed areas, the regeneration would be inhibited by reaction with acid generated by photo-rearrangement of DNQ, and this would be the reason for the preferential dissolution at the unexposed areas.
  • Xiaowei Li, Weidong Lai, Shuangshuang Meng, Heiyang Yu
    2009 年 22 巻 5 号 p. 603-608
    発行日: 2009/06/30
    公開日: 2009/09/17
    ジャーナル フリー
    To respond the outer optical stimuli at confined dimension, 1.4μm sized microcapsule has been synthesized, with photoinitiators TPO, 1173 or 651 as well as TMPTA resin encapsulated as core optical-responding ingredients. The microcapsule shell formation process is detected and the interfacial polycondensation reaction is accelerated with temperature rises. The C=C bonds cleavage and photo-crosslinking process in microcapsule cells are observed by FT-IR analysis. The photopolymerization degree in microcapsules is heightened during UV-exposure, and achieves stable photocrosslinking percentage of 8% after 40s for 651 photoinitiated microcapsule, which is lower in comparison with 25% after exposed for 250s for 1173 photoinitiated sample. While for TPO, the photocrosslinking extent in microcapsule can obtain at 37% after exposed for 30s. The photoinitiator influence on photopolymerization extent in microcapsules is ascribed to the different irradiation energy utilizing efficiency of the three photoinitiators, and TPO has highest efficiency to absorb UV irradiation in microcapsules. Also, the polyurea microcapsule shell has strong UV absorption below the wavelength of 307nm, which further attenuates the total irradiation energy exposed into the microcapsules.
  • Shigeki Hattori, Arisa Yamada, Satoshi Saito, Koji Asakawa, Takeshi Ko ...
    2009 年 22 巻 5 号 p. 609-614
    発行日: 2009/06/30
    公開日: 2009/09/17
    ジャーナル フリー
    A novel molecular resist based on a new amorphous molecule, a truxene derivative, was designed and synthesized. Truxene is characterized as an amorphous solid with a high glass transition temperature (Tg). H alf-pitch (hp) 50 nm line-and-space (1 : 1) positive pattern was fabricated by the exposure of an electron beam (30 keV) using the novel molecular resist. Design of novel molecular resists based on the truxene derivative is promising for development of more efficient molecular resists.
  • Jae Hyun Kim
    2009 年 22 巻 5 号 p. 615-617
    発行日: 2009/06/30
    公開日: 2009/09/17
    ジャーナル フリー
    Water immersion lithography is a valuable tool for sub-50-nm patterning. In efforts to improve this method, reducing immersion-specific defects in the topcoat-less process, improving scanner productivity, and enhancing the definability in the resolution-limited area are key technological challenges. Material optimization is expected to be a practical way to improve the performance of immersion lithography, thereby making the method applicable for patterning down to the sub-30-nm scale.
  • Katsutoshi Kobayashi, Kotaro Sho, Hirokazu Kato, Kazunori Iida, Yoko I ...
    2009 年 22 巻 5 号 p. 619-623
    発行日: 2009/06/30
    公開日: 2009/09/17
    ジャーナル フリー
    Non-top coat resists are expected to be compatible with next generation high-speed scanners. Since they contain hydrophobic additives which are eccentrically located near the film surface so that they can form more hydrophobic film surface, they should have more suitable performance for high-speed scanning as well as better cost performance because of their processes without any immersion top coat steps. However, the influence of the addition of hydrophobic additives to the resists on their lithographic and defect performance need to be investigated, in order to apply them as mass production processes. In this work, the influence of hydrophobic additives on the scanning performance and on the imaging performance is investigated. Moreover, the influnece of global resist pattern density on a wafer on defect performance is also investigated. In addition, the defect performance is demonstrated to be sucessfully improved by improving the development and rinse processes.
  • Paul A. Zimmerman
    2009 年 22 巻 5 号 p. 625-634
    発行日: 2009/06/30
    公開日: 2009/09/17
    ジャーナル フリー
    The extension of 193nm immersion lithography (193i) has become a requirement due to the current lack of convergence of economic viability and technological capability for any other lithographic technology, at least through the 22nm node. Double patterning is currently the only direct path to 22nm without any clear showstoppers. This technology is expensive because it includes additional costly processing steps. For 22nm and beyond, technical issues such as the need to reduce line edge roughness (LER) or line width roughness (LWR) may drive innovation in lithography. The evaluation of non-chemically amplified photoresist systems may be used to trade resist sensitivity for improved LER and resolution. This work will describe the trade-offs of the candidate technologies for 22nm and beyond and specifically the efforts of several groups to extend 193nm immersion lithography.
  • Shinji Tarutani, Hideaki Tsubaki, Sou Kamimura
    2009 年 22 巻 5 号 p. 635-640
    発行日: 2009/06/30
    公開日: 2009/09/17
    ジャーナル フリー
    A new negative tone imaging with application of new developer to conventional ArF immersion resist materials is proposed to form narrow trench and contact hole patterns, which is promising for double patterning process, since it is difficult to obtain sufficient optical image contrast to print narrow trench or contact hole below 60 nm pattern size with positive tone imaging. No swelling property in the developing step realized low LWR number at 32 nm trench patterns. Uniform de-protection ratio through the depth of resist film reduced cuspy resist pattern profile causing micro-bridges at narrow trench pattern, and low frequency LWR number down to 2.4 nm. High resolution potential was demonstrated with 38 nm dense S/L under 1.35 NA immersion exposure. Better CD uniformity and LWR number of trench pattern were obtained by negative tone development (NTD) process with comparison to positive tone development (PTD) process. Excellent defect density of 0.02 counts/cm2 was obtained for 75 nm 1:1 S/L by combination of 0.75 NA dry exposure and NTD process combination. NTD process parameters impacts to defectivity were studied.
  • Tomohiko Kakizawa, Goji Wakamatsu, Yusuke Anno, Masafumi Hori, michihi ...
    2009 年 22 巻 5 号 p. 641-646
    発行日: 2009/06/30
    公開日: 2009/09/17
    ジャーナル フリー
    Double patterning is one of the most promising lithography techniques for sub-40nm half-pitch device manufacturing. Several variations of double patterning processes have been reported by research groups, including a dual-trench process (litho-etch-litho-etch) and a dual-line process (litho-litho-etch). Between these, the dual-line process attracts the most attention because it is a simple process and achieves high throughput. However, there is concern that the second lithography process damages the first lithography patterns in the dual-line process. Therefore, new technology must be developed to keep the configuration of first lithography patterns during the second lithography step, and to make this patterning process practical.
    Recently, we succeeded in forming 32 nm half-pitch LS lithography patterns by the introduction of a new "freezing" step. This step involves covering the first lithography pattern with a chemical freezing material to prevent damage by the second lithography process. This process, so called "litho-freezing-litho-etch" process, will achieve higher throughput and lower cost compared to litho-etch-litho-etch.
    In this study, the performance of this chemical freezing double patterning process was investigated for various applications using a hyper NA immersion exposure tool. Imaging results including process window and etching results of sub-30nm half-pitch LS and 40nm half-pitch CH with this freezing process were shown. Furthermore, items such as critical dimension uniformity and defect inspection using the freezing process were reviewed.
    Additionally, to simplify the double patterning process, we developed a new material called "self freezing resist". Self freezing resist requires only one step bake to prevent damage by the second litho process. Litho performance of self freezing resist was reviewed.
  • Tsuyoshi Nakamura, Ryoichi Takasu, Patrick Wong, Mireilli Maendhout
    2009 年 22 巻 5 号 p. 647-652
    発行日: 2009/06/30
    公開日: 2009/09/17
    ジャーナル フリー
    Double patterning technique with 193nm immersion lithography is recognized as a potential candidate for 32nm hp node, and possibly for sub-32nm hp node with recent. In this technique Litho-Process-Litho-Etch (LPLE) because of its simplicity is regarded as an attractive process. However, a workable application of this process has not yet been demonstrated.
    Posi/Posi process that doesn't require freezing material has been investigated from the view-point of process simplification, and has been shown to be successful in printing images below 32nm hp. Furthermore contact hole imaging by employing cross-line method has also been proven to be quite successful.
    Here we present the results of our work on freezing free Posi/Posi process as applied to cross-lined contact hole, and results of the evaluation of high resolutions obtained from pitch splitting.
  • Dong-Kwan Lee, Yi Cao, David Abdallah, Jian Yin, Muthiah Thiyagarajan, ...
    2009 年 22 巻 5 号 p. 653-661
    発行日: 2009/06/30
    公開日: 2009/09/17
    ジャーナル フリー
    Cost reduction of Double Patterning processes is one of the key areas of development for materials vendors. Among the various possible approaches, spin-on freeze coatings are particularly attractive since they can provide a combination of high imaging performance and high flexibility in terms of resist selection. This paper reports on the development of a new material for spin-on freeze double patterning, AZ(r) SOLIDTM Coating. A suggested mechanism of action is proposed based on FT-IR studies, and the performance of the material in terms of structure quality, process window, impact on LWR, CD uniformity, and resist compatibility is described.
  • Koji Arimitsu, Ayumu Kushima, Ryosuke Endo
    2009 年 22 巻 5 号 p. 663-666
    発行日: 2009/06/30
    公開日: 2009/09/17
    ジャーナル フリー
  • Jolke Perelaer, Ko Hermans, Cees W. M. Bastiaansen, Dirk J. Broer, Ulr ...
    2009 年 22 巻 5 号 p. 667-670
    発行日: 2009/06/30
    公開日: 2009/09/17
    ジャーナル フリー
    The aspect ratio of photo-embossed relief structures has been improved significantly by addition of a reversible addition-fragmentation chain transfer (RAFT) agent, typically used for controlled radical polymerization in particular of acrylate monomers. The increase is independent of the atmospheric conditions since oxygen inhibition hardly occurs, which represents a major advantage for industrial applications of photo-embossing. The aspect ratio could be improved due to the controlled acrylate polymerization upon addition of a RAFT agent and increased by a factor of almost 10. The insensitivity to oxygen inhibition is related to the ability of the RAFT agents to form stable radicals.
  • Stephanie Horning, Anja Schultz, Gerhard J. Mohr, Thomas Heinze
    2009 年 22 巻 5 号 p. 671-673
    発行日: 2009/06/30
    公開日: 2009/09/17
    ジャーナル フリー
    The exploration of chemical microenvironments in organisms as well as on the cellular level is of great interest in medical and biological research. Therefore, dextran nanoparticles, which are labelled with both a pH-indicator dye (fluorescein isothiocyanate, FITC) and a reference dye (sulforhodamine B acid chloride) as an internal standard, were developed as biocompatible nanosensores that can be used for ratiometric pH measurements. The ratio of the fluorescence dyes can easily be tuned during the nanoparticle formation by choosing the appropriate mixture of the labelled derivatives prior the nanoprecipitation. The fluorescence intensity of FITC increases with increasing pH value, whereas the signal of the reference dye remains constant. The polysaccharide based pH-nanosensors are characterized in terms of fluorescence lifetime, autoclaving stability, response to ionic strength, oxidation and photobleaching. The resulting pKa of 6.45 is appropriate for most of the measurement purposes. Fluorescence lifetime measurements indicate that energy transfer between the dyes takes places. However, no negative influence on the performance of the pH value measurements could be observed.
  • Jun-ichi Nishida, Akira Amada, Shigeki Murakami, Yoshio Yamashita
    2009 年 22 巻 5 号 p. 675-676
    発行日: 2009/06/30
    公開日: 2009/09/17
    ジャーナル フリー
  • Shoji Matsumoto, Kazuto Kimura, Takeru Sano, Motohiro Akazome, Katsuyu ...
    2009 年 22 巻 5 号 p. 677-678
    発行日: 2009/06/30
    公開日: 2009/09/17
    ジャーナル フリー
  • Kunihiko Okano, Yasuyuki Mikami, Takashi Yamashita
    2009 年 22 巻 5 号 p. 679-682
    発行日: 2009/06/30
    公開日: 2009/09/17
    ジャーナル フリー
    Novel azotolane derivatives containing an oligooxyethylene moiety have been synthesized via palladium-catalyzed cross coupling reactions as the key step. The thermotoropic liquid crystalline behavior of the compound was investigated by polarizing optical microscopy and differential scatering calorimetry. Furthermore, we observed that the compound shows trans-cis photoisomerization behavior upon irradiation of ultraviolet light, as well as a conventional azobenzene moiety.
  • Takao Ono, Takanori Akagi, Takanori Ichiki
    2009 年 22 巻 5 号 p. 683-689
    発行日: 2009/06/30
    公開日: 2009/09/17
    ジャーナル フリー
    The amorphous perfluoropolymer CytopTM (Asahi Glass Co., Ltd.) is an attractive material for use in microdevices for biological and chemical analysis because of its high optical transparency, excellent chemical stability, and low refractive index of 1.34, which is almost the same as that of water. In this study, to increase the applicability of this polymer for such microdevices, the modification of its surface properties was investigated using low-pressure argon plasma. Hydrophilicity control with a water contact angle in the range from 30° to 110° and, moreover, the long-term stability of the hydrophilized surface were achieved for the first time. Although oxygen plasma treatment caused etching instead of hydrophilization, exposure to argon plasma led to bond breaking, the formation of free radicals, and finally the incorporation of hydrophilic groups as a result of the reaction with the oxygen or water from the atmosphere after the polymer was removed from the plasma reactor. Furthermore, to evaluate the suitability of this material for applications involving cell cultivation, the cell adhesion and proliferation properties of the plasma-treated surface were also investigated.
  • Tomoko Gowa, Naoyuki Fukutake, Yoshimasa Hama, Kentaro Hizume, Takashi ...
    2009 年 22 巻 5 号 p. 691-696
    発行日: 2009/06/30
    公開日: 2009/09/17
    ジャーナル フリー
    A compact soft X-ray source via inverse Compton scattering has been developed at Waseda University. The energies of the generated X-rays are within "water window" region (250 - 500 eV) and development of a soft X-ray microscope is expected which can get the elemental mapping of carbon and/or nitrogen without dehydration. We have studied to develop a high resolution soft X-ray imaging system with photo resists for nanoscale observation. However, the yield of generated X-rays had been too small for the practical use of the soft X-ray microscopy. To enhance the X-ray yield, we have upgraded the generation system and succeeded in increasing the detected photons 10-fold. Total generated photons were estimated to be over 1.5E+5 photons/s. Also, super-sensitization of photo resists has been attempted to reduce the required X-ray amount. By irradiating quasi-monochromatic X-rays in the water window region from synchrotron radiation at BL12 of the SAGA-LS, the sensitivity of a deep-UV photo resist, TDUR-P722 (Tokyo Ohka Kogyo Co., Ltd) was evaluated. After UV light (including 254 nm) exposure up to the sensitivity threshold and baking as PEB process, it was found that the resist becomes more sensitive. This UV "pre-exposure" method reduced the required 400 eV X-ray amount by over 65 %.
feedback
Top