Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
7 巻, 3 号
選択された号の論文の26件中1~26を表示しています
  • T. UENO, H. SHIRAISHI, S. UCHINO, T. SAKAMIZU, T. HATTORI
    1994 年 7 巻 3 号 p. 397-405
    発行日: 1994年
    公開日: 2006/08/04
    ジャーナル フリー
    The technologies for future lithography have been proposed, such as i-line phase-shifting lithography, deep-UV lithography and electron beam lithography. We have proposed several types of chemical amplification resist systems for future lithography. These are based on the change in dissolution rate by acid catalyzed reaction for aqueous development: dissolution inhibitor to dissolution promoter for positive resists and the reverse for negative resist. Deprotection reaction of tetrahydropyranyl protected poly(hydroxystyrene) is used for positive resists. Silanol condensation reaction by acid catalyzed reaction was applied to negative resists. Pinacol rearrangement, etherification and intramolecular dehydration of carbinols can also be used for negative resists.
  • TORU KAGA
    1994 年 7 巻 3 号 p. 407-416
    発行日: 1994年
    公開日: 2006/08/04
    ジャーナル フリー
    There is no physical limitation to giga-bit era DRAMs. Continuing miniaturization of DRAM cells will require smaller minimum feature size, the very good alignment tolerance of less than 20% of the minimum feature size, and a higher aspect-ratio resist system, the keys to the high-density DRAMs of the future.
  • KENJI MAEGUCHI
    1994 年 7 巻 3 号 p. 417-422
    発行日: 1994年
    公開日: 2006/08/04
    ジャーナル フリー
    The rapid performance improvement being made in microprocessors, video compression and so on will open up the multimedia environments near future. Higher performance, lower power and lower cost requirements in leading-edge logic LSIs push the MOSFET miniaturization and fine multi-level interconnection beyond DRAM. This requires the lithography improvement more and more. Fine line resolution/control will be one of the most difficult technical challenge in lithography. These technical challenge should be solved from the total process optimaization standpoint, which include the unit process and process integration.
  • Tohru Ushirogouchi, Naoko Kihara, Satoshi Saito, Takuya Naito, Koji As ...
    1994 年 7 巻 3 号 p. 423-432
    発行日: 1994年
    公開日: 2006/08/04
    ジャーナル フリー
    Abstract: Quantum chemical calculation is applied to investigate the reaction mechanism of sulfonyl acid generator and the transparency of the resist material. We have found that electron absorbed dimethylsulfone can be easily decomposed by relatively low energy (2.95Kcal/mol), reaching to the decomposed status of methyl radical and CH3SO2 (methyl sulfonyl anion). This was thought to bean initial step in the electron acid generation reaction of the sulfonyl compounds. The total molecular energy of dimethyl sulfone anion was found to be higher than that of the neutral dimethylsulfone. On the other hand, sulfone derivatives with electron-withdrawing groups, such as methyl sulfonyl acetonitrile, usually have higher energy (about 41kcal/mol) than those for their anion. This suggests that the electron withdrawing groups enhance the electron affinity of the sulfone compounds, which are also considered to increase the efficiency of acid generation. Additionally, another quantum chemical study was carried out in order to improve transparency of the aromatic species in resist for ArF excimer laser. Using configuration interaction (CI) methods of molecular orbital theory, the substituent effects of UV absorption in the aromatic compounds were investigated. As a result significant red sifts in λmax were observed in the conjugated romatic rings, which increases the transparency at 193nm wavelength region.
  • Hiroshi ITO, Greg BREYTA, Don HOFER, R. SOORIYAKUMARAN, Karen PETRILLO ...
    1994 年 7 巻 3 号 p. 433-447
    発行日: 1994年
    公開日: 2006/08/04
    ジャーナル フリー
  • Greg Breyta, Donald C. Hofer, Hiroshi Ito, Dave Seeger, Karen Petrillo ...
    1994 年 7 巻 3 号 p. 449-460
    発行日: 1994年
    公開日: 2006/08/04
    ジャーナル フリー
    A new contamination resistant 248nm DUV resist (ESCAP-E) has been developed to alleviate the difficulties encountered with the environmental contamination sensitivity of chemically amplified DUV photoresists. The formulation and processing of ESCAP-I; have been designed to permit thermal annealing of resist films to reduce the free volume and diffusivity of airborne contaminants into the resist film. The resist formulation is robust enough to permit post exposure bake delays of up to four hours without change or reduction of resist lithographic performance. The lithographic performance evaluated on 0.50NA 248nm steppers indicates linearity to 0.25μm, excellent exposure latitude and depth of focus.
  • Munirathna Padmanaban, Yoshiaki Kinoshita, Takanori Kudo, Thomas Lynch ...
    1994 年 7 巻 3 号 p. 461-472
    発行日: 1994年
    公開日: 2006/08/04
    ジャーナル フリー
    Acetal based deep UV resists, AZ® DX series, are high performance, positive tone deep UV resists consisting of poly(3-methyl, 4- hydroxystyrene-co-4-hydroxystyrene) matrix resin, poly(N, O-acetal) dissolution inhibitor, bis(arylsulfonyl) diazomethane photoacid generator and a photobase to stabilize the latent acid image. The resist can lineate structures between 0.35 and 0.25μm using KrF laser (248nm) source. In the present paper, the background for the selection of current components and the function of the photobase is presented. Finally, the lithographic properties of the acetal based resist, AZ® DX 46 is presented, demonstrating the usefulness of the resist for 64 and 256Mbit DRAM manufacturing.
  • CHAN-MOON CHUNG, DEOK-IL KOO, KWANG-DUK AHN
    1994 年 7 巻 3 号 p. 473-482
    発行日: 1994年
    公開日: 2006/08/04
    ジャーナル フリー
    Terpolymers of sulfonyloxymaleimides have been prepared as a new class of polymeric photoacid generator and their photochemical and thermal properties were investigated. The styrenic copolymers of N-tosyloxymaleimide (TsOMI) produced p-toluenesulfonic acid (TsOH) in solid state by deep UV irradiation and the amount of generated acid was determined by using a colorimetric method. Two terpolymers of TsOMI and p-(t-butyloxycarbonyloxy)styrene showed the capability of a single-component, chemically amplified resist system in deep UV region. Positive- and negative-tone images were obtained with sensitivity of 50mJ/cm2.
  • Photochemical Proton Generation Mechanism from Triphenylsulfonium Salts
    Setsuko Oikawa, Norikazu Fujii, Masayuki Hata, Minoru Tsuda
    1994 年 7 巻 3 号 p. 483-486
    発行日: 1994年
    公開日: 2006/08/04
    ジャーナル フリー
  • J. T. Weed, R. A. Ferguson, L. W. Liebmann, K. M. Martino, A. F. Molle ...
    1994 年 7 巻 3 号 p. 487-496
    発行日: 1994年
    公開日: 2006/08/04
    ジャーナル フリー
    Introduction of new semiconductor technology to the market place depends on how quickly advanced research and development organizations can provide viable products. A methodology is described and examples given that reduce the development time associated with leading edge lithography systems. An interactive development loop focusing on improvement of dimensional control and depth of focus is discussed. Techniques to improve the areas of design, data collection and analysis are presented.
  • KOJI ASAKAWA, TOHRU USHIROGOUCHI, MAKOTO NAKASE
    1994 年 7 巻 3 号 p. 497-500
    発行日: 1994年
    公開日: 2006/08/04
    ジャーナル フリー
  • Jiro Nakamura, Hiroshi Ban, Yoshio Kawai, Akinobu Tanaka
    1994 年 7 巻 3 号 p. 501-506
    発行日: 1994年
    公開日: 2006/08/04
    ジャーナル フリー
    The stability of a chemically amplified resist during the delay between exposure and post-exposure baking (PEB) is strongly influenced by water in the ambient air. The resist sensitivity decreases at higher humidity. An insoluble surface layer is determined by a cross-sectional development and its thickness is approximately proportional to the square root of the delay time. The absorbed water in resist films reduces the rate of acid-catalyzed reactions, which is obtained by infrared (IR) spectroscopy. The effect of water on the acid-catalyzed reaction is explained in terms of the acidity of hydrated protons. Its low acidity leads to a deterioration in the efficiency of the acid-catalyzed reaction.
  • ROBERT D. ALLEN, GREGORY M. WALLRAFF, RICHARD A. DIPIETRO, DONALD C. H ...
    1994 年 7 巻 3 号 p. 507-516
    発行日: 1994年
    公開日: 2006/08/04
    ジャーナル フリー
    The quest for a high performance positive chemically amplified (CA) resist for 193nm lithography is a significant challenge. We have recently developed the first high resolution positive resist for 193nm lithography.[1] Our work now centers on improving etch resistance while maintaining imaging quality. In this paper we will discuss structure/property relationships of methacrylate polymers with increased etch resistance over our first generation resist. Modifications which improve etch resistance often negatively impact aqueous solubility and polymer thermal properties. Several approaches will be discussed whichattempt to address competing considerations in positive resist design.
  • Ki-Ho Baik, A. M. Goethals, K. Ronse, Luc van Den Hove
    1994 年 7 巻 3 号 p. 517-532
    発行日: 1994年
    公開日: 2006/08/04
    ジャーナル フリー
    The etching and resists stripping issues by using new safer silylation solutions for liquid phase silylation (LPS) of the positive tone diffusion enhanced silylated resist (DESIRE) process are presented. The silylation process and the composition of the silylation solution have been optimized. Characterizations of the silylation process have been performed using Fourier Transform Infrared absorption (FTIR), Rutherford Backscattering Spectroscopy (RBS), and a staining technique. A constant Si-depth vs. linewidth have been observed, resulting in a good linearity. Several solutions for the proximity effect reduction have been suggested. The dry development conditions have been optimized in the view of the reduction of the proximity effects. The thermal and chemical stability of the silylated resist have been studied. In order to explore the limits and the reduction of the proximity effects, this process has also been evaluated using off-axis illumination (OAI) and phase shifting masks (PSM).
  • Tsuguo YAMAOKA, Kouji KAMENOSONO, Seongyun MOON, Kazuhiko NAITOH, Syun ...
    1994 年 7 巻 3 号 p. 533-536
    発行日: 1994年
    公開日: 2006/08/04
    ジャーナル フリー
  • Pei-yang Yan
    1994 年 7 巻 3 号 p. 537-549
    発行日: 1994年
    公開日: 2006/08/04
    ジャーナル フリー
    In this paper, the performance of quadrapole illumination source under two types of high numerical aperture (NA) deep-ultra-violet (DUV) printing tools, namely, excimer laser stepper with chromatic lens design and step-and-scan systems without chromatic aberration for the application of 0.25μm lithography is studied through simulation. The results are based on both aerial image and Shipley XP89131 negative DUV resist model [1] study. Due to the unique characteristics of oblique illumination source imaging, i.e., imaging by using only zero and first diffraction order light, both stepper resolution limit and depth of focus (DOF) of dense lines are extended. As a result, the proximity effect and the chromatic aberration effect in resist printing are also different from that of conventional illumination source. The optical proximity effect increases under the oblique illumination source as compared to that of conventional illumination source, especially when the light incident angle is large. For chromatic lens aberration, unlike the conventional illumination source, aerial image degradation depends not only on the laser spectral profile and amount of the lens aberration, but also on the mask feature sizes and pattern types.
  • Yoshio Yamashita, Mitsuaki Morigami, Takeo Watanabe, Shuji Fujiwara, J ...
    1994 年 7 巻 3 号 p. 551-560
    発行日: 1994年
    公開日: 2006/08/04
    ジャーナル フリー
    The pattern replication characteristics in X-ray proximity lithography were investigated. Replication accuracy was evaluated as a function of proximity gap, pattern size and pattern density. It is found that 0.15μm lines and spaces can be delineated in the wide proximity gap range of 40 μm and that 0.2-μm-level pattern replication minimally depends on the pattern density. The 0.25-μm-level critical dimension (CD) of the resist pattern was measured over a 6" wafer and the CD variation (3σ) was 0.0134μm or ±5.4% for the linewidth. The resolution limit of X-ray proximity printing is discussed. Calculation results demonstrate that X-ray lithography has a high resolution of less than 0.1μm. Resolution of 0.1μm is confirmed by experimental results.
  • Edward W. Scheckler
    1994 年 7 巻 3 号 p. 561-568
    発行日: 1994年
    公開日: 2006/08/04
    ジャーナル フリー
    High research costs and difficult technical challenges make simulation an important tool for sub-250nm lithography development. Resists can no longer be represented by smooth surfaces, however, because pattern edge roughness occurs due to the underlying base polymer structure and the distribution of cross-linking and dissolution inhibiting materials in the resist. To model effects of importance in semiconductor manufacturing, a new simulation method has been developed. The method begins with a resist material representation based on the base polymer resin and proceeds with algorithms for post-exposure bake processes and alteration of the structure upon contact with developer. The model has been successfully applied to proximity X-ray, projection extreme-UV, and electron beam lithography with chemical amplification resists. Measured 3σ fluctuation often approaches 25nm, limiting 10% fluctuation control to 250nm linewidths.
  • VLADIMIR KUDRYASHOV, TANYA BORZEIKO, VLADIMIR KRASNOV, VITALIY ARISTOV
    1994 年 7 巻 3 号 p. 569-576
    発行日: 1994年
    公開日: 2006/08/04
    ジャーナル フリー
    A new method of undercut structure profile formation in positive photoresists based on low energy electron irradiation has been developed. This method has advantages such as separate control of the modified resist layer thickness and the value of the sensitivity reduction. Microbridges with the width of o.25 micrometer have been formed across the grooves in photoresist by 4KeV electron beam exposure. A new technology of lift-off process with positive tone photoresist irradiation with low energy electrons has been proposed.
  • INORGANIC RESIST
    AKIRA YOSHIKAWA
    1994 年 7 巻 3 号 p. 577-584
    発行日: 1994年
    公開日: 2006/08/04
    ジャーナル フリー
    Ag-photodoping results in distinct changes in chemical solubility of amorphous chalcogenide films. This enables us to apply an Ag/chalcogenide stacked system to a negative type resist. The Ag/Se-Ge resist, which was first developed as a practical inorganic resist, is still one of the most important inorganic resist systems and holds promise for future applications. This paper outlines fundamental characteristics of Ag/Se-Ge resist applied to various lithography technologies involving UV, deep-UV, x-ray and electron-beam. Emphasis is placed on the discussion with regard to inherent advantages in the use of inorganic amorphous materials. As a specific feature in the resist processing, possible realization of a completely dry lithography process suited for integration into a current multi-chamber tool is also shown.
  • TOSHIO IKEDA, MAMORU BABA, NOBUYOSHI KOSHIDA
    1994 年 7 巻 3 号 p. 585-594
    発行日: 1994年
    公開日: 2006/08/04
    ジャーナル フリー
    Amorphous transition-metal oxide films become insoluble in chemical etching solution after electron-beam or Ga+ focused-ion-beam exposure. Exposure characteristics were measured showing the advantageous features with high contrast γ values. High sensitivity was observed in the case of Ga+ focused-ion-beam exposure. Mechanism of the effect of exposure is examined and two different mechanisms are proposed for electron-beam and Ga+ focused-ion-beam exposures. In the former case, desorption of oxygen is a predominant factor for the decrease of solubility and in the latter case structural change to the ordered state is a predominant factor. A useful application i s presented for the fabrication of fine metallic Mo wires with 40nm width by H2-reduction from MoO3 fine patterns.
  • TOMONORI ISHIKAWA, NOBUYUKI TANAKA, MÁXIMO LÓPEZ, ISAMU ...
    1994 年 7 巻 3 号 p. 595-598
    発行日: 1994年
    公開日: 2006/08/04
    ジャーナル フリー
    A novel in situ electron-beam (EB) lithography, aiming at nanometer-scale ultrafine structure fabrication, in which a few monolayer thick surface oxide of GaAs is used both as the resist material for EB-patterning and as the etching mask, is described.
  • Masataka HIROSE, Katsuhiko OKAMOTO, Seiichi MIYAZAKI
    1994 年 7 巻 3 号 p. 599-606
    発行日: 1994年
    公開日: 2006/08/04
    ジャーナル フリー
    Polysilane thin films have been grown by the glow discharge of SiH4 gas at a substrate temperature of -110°C. The infrared absorption spectra have shown that polysilane (SiH2)n chains are predominantly incorporated in the matrix together with SiH3 which terminates the chain. The bonded hydrogen in the film is effused by electron beam (EB) or ArF eximer laser irradiation, resulting in three-dimensional Si network formation. An as-deposited polysilane is easily oxidized in air at room temperature, while the beam irradiated region is hardly oxidized. The fine silicon line and pillar of about 0.12μm embedded in SiO2 are formed by irradiating electron beam at an acceleration voltage of 25kV with a dose of 20mC/cm2. The silicon pattern is basically in amorphous phase and crystallized by annealing at 800 °C. Also, the silicon line of about 1μm is generated by irradiating ArF excimer laser through a conventional mask at a pulse energy of 10 mJ•cm-2/shot with 1000 shots. The generated silicon line is found to be polycrystalline.
  • Atsuko Yamaguchi, Taro Ogawa, Takashi Soga, Hiroaki Tachibana, Mutsuyo ...
    1994 年 7 巻 3 号 p. 607-614
    発行日: 1994年
    公開日: 2006/08/04
    ジャーナル フリー
    X-ray-induced ablation of Si-containing polymers is investigated for application to the surface-imaging process in X-ray lithography. Polysilanes, polystyrene, and polymethacrylates are chosen for this study. Ultraviolet (UV) and Fourier-transfer infrared (FTIR) spectra are observed and all of the polymers are found to have positive tone characteristics against soft X-ray exposure. Based on measurements of remaining film thickness after exposure, polymethacrylates are found to have a high self development sensitivity. However, copolymerization gives no improvement in sensitivity. Oxygen reactive ion etching (O2-RIE) rates of these polymers are also measured and found to depend on the Si content of the polymer. A bilayer resist using the polymer as a surface imaging resist is patterned by X-ray exposure and subsequent dry etching. Applicability of Si-containing X-ray resist to self-development is presented.
  • G.K. Vinogradov, C. Shao, K. Sends, S. Morita
    1994 年 7 巻 3 号 p. 615-618
    発行日: 1994年
    公開日: 2006/08/04
    ジャーナル フリー
  • James W. Thackeray, Timothy Adams, Michael F. Cronin, Mark Denison, Th ...
    1994 年 7 巻 3 号 p. 619-630
    発行日: 1994年
    公開日: 2006/08/04
    ジャーナル フリー
    The development of robust, high resolution, positive- and negative-tone resists is necessary for the eventual implementation of DUV lithography in a manufacturing environment. Great progress has been made in the last two years in the area of chemically amplified resists. Environmentally stable, high resolution and high thermal resistance negative-tone resists have been commercially available for three years. Prototype DUV positive-tone resists are now achieving better environmental stability through the use of partially blocked poly(p-vinyl)phenol polymer and photoacid generators (PAG) based on organic onium salts. In this paper, our studies of various PAG structures in positive-tone DUV resists are reported. The PAG structure, M+X- was varied such that M+ was either triphenylsulfonium (TPS+) or diphenyliodonium (DPI+), and X- was trifluoromethanesulfonate (TFA-), toluenesulfonate (TSA-), camphorsulfonate(CSA-), and hexadecylsulfonate (HDSA-). The relative photospeed of these resists corresponded to the pKa of the photogenerated acid generated from the anion, TFA>TSA>HDSA>CSA. The TPSTSA -based resist showed the lowest diffusion coefficient, D=2.7 x 10-5μm2/s, as estimated from linewidth vs. postexposurebake(PEB) time plots. This paper also shows a unique advantage of onium salts in that they can show a maximum dissolution rate ratio, Rp/Rmin, at less blocked polymer levels, where Rp is the dissolution rate of the blocked polymer, and Rmin is the dissolution rate of the unexposed blocked polyrner/5% onium salt. The dissolution rate ratio was 250 using a 10% blocked polymer, which approaches the inhibition capability of PAC/Novolak systems. This work on PAG structural variation has led to the development of XP-9402 positive DUV resist, which is linear to 0.225μm on a 0.53NA excimer laser stepper at a photospeed of 46mJ/cm2. This resist shows good postexposure delay stability for up to two hours, without the use of a covercoat. The second part of this paper discusses our work on negative DUV resists, where low molecular weight poly(p-vinyl)phenol (PVP) provides highest resolution performance in negative DUV resists. The PVP-based resists suffer from microbridging in 0.26N TMAH developer. Finally, it is shown that with altered PVP, high resolution with negative DUV resists without microbridging in 0.26N TMAH developer can be achieved.
feedback
Top