Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
28 巻, 4 号
選択された号の論文の22件中1~22を表示しています
  • Toru Fujimori, Toru Tsuchihashi, Toshiro Itani
    2015 年 28 巻 4 号 p. 485-488
    発行日: 2015/05/11
    公開日: 2015/10/05
    ジャーナル フリー
    This study describes the recent progress of negative-tone imaging with EUV exposure (EUV-NTI) compared with positive-tone development (PTD). NTI uses organic solvent-based developer to provide low swelling and smooth- dissolving behavior. Therefore, EUV-NTI is expected to offer several advantages in terms of performance, especially for improving line-width roughness (LWR), which is expected to resolve the resolution, LWR, and sensitivity (RLS) trade-off. Herein, novel chemical amplified resist materials for EUV-NTI are investigated to improve LWR and sensitivity. Results indicate that the EUV-NTI has better performance than PTD, while maintaining the LWR performance. The novel high sensitivity formulation, with ‘single digit mJ/cm2 photo speed’, resolved 22-nm half pitch using NXE3100 scanner. Furthermore, EUV-NTI processing such as the pre-applied bake (PAB) temperature, post-exposure bake (PEB) temperature, development procedure, and rinse procedure are very effective for improving the lithographic performance. In addition, the lithographic performance with NXE3100 scanner is also reported..
  • Hideaki Tsubaki, Wataru Nihashi, Toru Tsuchihashi, Toru Fujimori, Mak ...
    2015 年 28 巻 4 号 p. 489-499
    発行日: 2015/05/11
    公開日: 2015/10/05
    ジャーナル フリー
    Manipulation of dissolution properties by changing organic solvent developer and rinse material provides a novel technology to obtain fine pattern beyond the limitation of imaging system based on alkaline developer. QCM study showed no swelling character in negative-tone imaging (NTI) process even for current developer of n-butyl acetate (nBA). Actually, NTI process has shown advantages on resolution and line-width roughness (LWR) in loose pitch around 30 ~ 45 nm hp as a consequence of its non-swelling character. On the other hand, bridge and collapse limited its resolution below 20 nm hp, indicating that non-negligible amount of swelling still exists for tight pitch resolution. We investigated effects of solubility parameter of organic solvents on resolution below 20 nm hp. A bridge was reduced with a decrease in the solubility parameter dp from nBA. On the other hand, much lower dp caused film remaining due to its extremely slow Rmax. Based on these results, we newly developed FN-DP301 containing organic solvent with smaller dp than nBA. Although rinse solvent gave negligible effects on bridge, there is a clear improvement on pattern collapse only in case of using new rinse solvent of FN-RP311. Lithographic performances of NTI process using nBA and FN-DP301 together with the other organic solvents were described in this paper under exposures with an E-beam and a EUV light. It is emphasized that 14 nm hp resolution was obtained only using FN-DP301 as a developer and FN-RP311 as a rinse under E-beam exposure. NTI showed 43% faster photospeed in comparison with PTI at 16 nm hp, indicating that NTI is applicable to obtain high throughput with maintaining resolution. In addition, sub-20 nm trench was obtained using NTI without bridge under EUV exposure, all of which are attributed to the low swelling character of NTI process. Similarly, NTI was able to print 20 nm dots using NXE:3100 with only a little peeling. Conversely CH patterning was significantly worse with NTI compared to PTI, that is, only 36 nm contacts with 60 nm pitch was resolved under EUV exposure.
  • Yoshitaka Komuro, Daisuke Kawana, Taku Hirayama, Katsumi Ohmori, Takah ...
    2015 年 28 巻 4 号 p. 501-505
    発行日: 2015/06/10
    公開日: 2015/10/05
    ジャーナル フリー
    EUV lithography is one of candidates for the high-volume manufacturing of semiconductor devices with sub-10 nm critical dimension. An anion-bound polymer, in which the anion part of onium salts is introduced into main chain polymer, has attracted much attention for sub-10 nm fabrication. We have modeled the acid generation of anion-bound resists and developed a simulation code for facilitating the development and improvement of resist materials and processes for EUV lithography [1]. In this study, the acid quantum efficiency of acid generation was investigated using the developed simulation code. The quantum efficiency did not depend on the thermalization distance. The increase in the efficiency of acid generation from an electronically excited state of the resist and the suppression of the reaction between phenyl radicals are important for the improvement of quantum efficiency.
  • Danilo De Simone, Ivan Pollentier, Geert Vandenberghe
    2015 年 28 巻 4 号 p. 507-514
    発行日: 2015/06/10
    公開日: 2015/10/05
    ジャーナル フリー
    Limitations on current performances of the chemically amplified resists (CAR), as well as the productivity driven low exposure dose requirements (below 20 mJ/cm2), have brought the researchers to look at a novel class of materials as possible alternative to the CA resists to simultaneously achieve resolution, line-width roughness (LWR) and sensitivity. In 2014, imec has started a new project to look into novel materials for EUV lithography with particular attention to metal containing materials (MCR) to explore alternative approaches that can offer superior characteristics in photoresist imaging: improved LWR and line collapse, high sensitivity and high etch resistance. In this paper we report the first assessment on the enablers of the MCRs from a manufacturing compatibility prospective, as metal cross-contamination and outgassing, to a device integration prospective through the patterning on the ASML NXE:3300 full field scanner exposure tool, the etch performances and new litho-etch integration scheme for 1x nm technology and below. The results obtained are highly promising and give a clear indication that other chemical paths in novel resist formulations are possible in advanced EUV lithography.
  • Jing Jiang, Ben Zhang, Mufei Yu, Li Li, Mark Neisser, Jun Sung ...
    2015 年 28 巻 4 号 p. 515-518
    発行日: 2015/06/10
    公開日: 2015/10/05
    ジャーナル フリー
    In the past few years, industry has made significant progress to deliver a stable high power EUV scanner and a 100 W light source is now being tested on the manufacuring scale. The success of a high power EUV source demands a fast and high resolution EUV resist. However, chemcially amplied resists encounter unprecedented challenges beyond the 22 nm node due to resolution, roughness and sensitivity tradeoffs. Unless novel solutions for EUV resists are proposed and further optimzed, breakthroughs can hardly be achieved. Oxide nanoparticle EUV (ONE) resists stablized by organic ligands were originally proposed by Ober et al. Recently this work attracts more and more attention due to its extraordinanry EUV sensitivity. This new class of photoresist utilizes ligand cleavage with a ligand exchange mechanism to switch its solubilty for dual-tone patterning. Therefore, ligand selection of the nanoparticles is extremely important to its EUV performance.
  • Takakazu Kimoto, Takehiko Naruoka, Hisashi Nakagawa, Tomohisa Fujisawa ...
    2015 年 28 巻 4 号 p. 519-523
    発行日: 2015/06/10
    公開日: 2015/10/05
    ジャーナル フリー
    Extreme ultraviolet (EUV) lithography has emerged as a promising candidate for the manufacturing of semiconductor devices at the sub-14nm half pitch lines and spaces (LS) pattern for 7 nm node and beyond. The success of EUV lithography for the high volume manufacturing of semiconductor devices depends on the availability of suitable resist with high resolution and sensitivity. It is well-known that the key challenge for EUV resist is the simultaneous requirement of ultrahigh resolution (R), low line edge roughness (L) and high sensitivity (S). In this paper, we investigated and developed new chemically amplified resist (CAR) materials to achieve sub-14 nm hp resolution. We found that both resolution and sensitivity were improved simultaneously by controlling acid diffusion length and efficien-cy of acid generation using novel PAG and sensitizer. EUV lithography evaluation results obtained for new CAR on Micro Exposure Tool (MET) and NXE3300 system are described and the fundamentals are discussed.
  • Tsubasa Fukui, Hirohito Tanino, Yuki Fukuda, Masaki Kuki, Takeo ...
    2015 年 28 巻 4 号 p. 525-529
    発行日: 2015/05/25
    公開日: 2015/10/05
    ジャーナル フリー
    The advanced feature size patterning process of semiconductor conductor devices was being charged with the important role with development of an information-technology oriented society. Extreme ultraviolet lithography (EUVL) is expected as a leading candidate of the next generation lithography for semiconductor electronic devices. The development of EUV resist which has high resolution, high sensitivity, low LWR, and low out gassing is a second critical issue of the EUVL. Development of the two-beam interference exposure tool using the EUV light has been upgraded for the critical dimension of 10-nm-order in EUV resist patterining process. This tool was installed at the 10.8-nm-long undulator beamline BL09B of NewSUBARU synchrotron radiation facility. Using this EUV interference lithographic method, 15 nm hp resist pattern had been replicated on a silicon wafer. The transmission grating fabrication is the most significant key technology in the EUV interference lithography. The advanced fabrication process is applied for the transmission-grating fabrication for the EUV resist patterning beyond the feature size of 15 nm, such as 12.5 and 10 nm.
  • Masaki Kuki, Tomoyuki Uemura, Masato Yamaguchi, Tetsuo Harada, Tak ...
    2015 年 28 巻 4 号 p. 531-536
    発行日: 2015/05/11
    公開日: 2015/10/05
    ジャーナル フリー
    For the analysis of fluorine materials and 3d transition metals by soft-x-ray absorption spectroscopy, A new diffraction grating with multilayer coating was installed at the BL-10 beamline of the NewSUBARU synchrotron light source. The target photon energy range of this grating is from 500 eV to 1,000 eV, which includes absorption edges of fluorine and 3d transition metals. The beam intensity of BL-10 in this range was very low due to low reflectance of the diffraction grating for the usage of monochromator. In order to obtaining high reflectance, we developed wideband W/Si multilayer and this multilayer was coated on a new diffraction grating. The reflectance of this multilayer was approximately 13-times higher than that of previous Ni single layer at the fluorine absorption energy edge of 697 eV. The beam intensity at the energy of 697 eV using the new diffraction grating was over 40 times stronger than that using the previous Ni-coated diffraction grating. As the result, using the new diffraction grating, it can be observed that the high-quality absorption spectrum of EUV resist at the absorption edge of fluorine and standard materials of 3d transition metals. The results show that the W/Si multilayer coating significantly improved the performance of the grating at the target energy range.
  • Andreas Frommhold, Dongxu Yang, Alexandra McClelland, John Roth, Xia ...
    2015 年 28 巻 4 号 p. 537-540
    発行日: 2015/06/15
    公開日: 2015/10/05
    ジャーナル フリー
    A novel molecular resist molecule was prepared by incorporation of 1,8-diazabicycloundece-7-ene into a tert-butyloxycarbonyl protected phenol malonate group. The resist shows high-resolution capability in both extreme ultraviolet (EUV) and electron beam lithography.
  • Joong-Yeon Cho, Heon Lee
    2015 年 28 巻 4 号 p. 541-545
    発行日: 2015/05/25
    公開日: 2015/10/05
    ジャーナル フリー
    In this study, a 2 inch sized a highly periodic nanometer-scaled patterned sapphire substrate (NPSS) was fabricated using nanoimprint lithography (NIL) and inductively coupled plasma etching to improve the light-extraction efficiency of GaN-based light-emitting diodes (LEDs). Both truncated cone and cone shape patterns were fabricated on the sapphire substrate to compare the enhancement effect of light extraction efficiency of LEDs according to the shape of sapphire patterns. A blue LED structure was grown on the two different NPSS, and the photoluminescence (PL) and electroluminescence (EL) were measured to confirm the effectiveness of the two different nanometer-scaled patterns on sapphire. An improvement in luminescence efficiency was observed when NPSS was applied; 2 times stronger PL intensity and 2 times stronger EL intensity than the LED structure grown on the un-patterned sapphire substrate was measured. These results show highly periodic nanometer-scaled patterns create multi-photon scattering and effectively enhance the light-extraction efficiency of LEDs.
  • Jun Taniguchi, Noriyuki Unno
    2015 年 28 巻 4 号 p. 547-550
    発行日: 2015/05/11
    公開日: 2015/10/05
    ジャーナル フリー
    Nanoimprint lithography can be used as a tool for semiconductor lithography. However, problems still remain in respect of the thickness of the residual layer. Liquid-transfer imprint lithography (LTIL) is one candidate for solving such problems. The principle of LTIL involves partial removal of the UV-curable resin in the liquid phase, permitting control of the thickness of the resin layer on the film mold. After removal of the excess resin, the film mold with a thin layer of UV-curable resin is contacted with the target substrate. This process is very simple, permitting its application in various methods and with various types of equipment, such as roll-to-substrate, roll press, or roll-to-roll machinery. Several types of resin-removal and stacking methods are possible. The machinery and associated techniques have a wide range of applications. LTIL has considerable potential, because transfer with no residual layer is possible, a result that is very useful in semiconductor lithography.
  • Norihiro Sugano, Makoto Okada, Yuichi Haruyama, Shinji Matsui
    2015 年 28 巻 4 号 p. 551-556
    発行日: 2015/05/11
    公開日: 2015/10/05
    ジャーナル フリー
    We evaluated the surface states of HSQ with 0.1wt% PDMS additive replica molds fabricated by RT nanoimprinting using SiO2 on Si and PDMS master molds by water contact angle and XPS measurements. In the case of using SiO2 on Si master mold, PDMS additive remained in HSQ after RT-nanoimprinting and segregated to the HSQ surface after annealing treatment, which has a good release property. On the other hand, in the case of using PDMS master mold, it is assumed that almost PDMS additive in HSQ transferred to the PDMS mater mold during RT-nanoimprinting. As a result, the stable release property was not induced. We proved from these results that the segregation of PDMS additive in HSQ replica mold depended on the master mold materials.
  • Qing Wang, Rui Zhang, Xu Zheng, Huawei Liu
    2015 年 28 巻 4 号 p. 557-562
    発行日: 2015/05/11
    公開日: 2015/10/05
    ジャーナル フリー
    Imprinting quality in thermal imprint lithography is influenced to a large extent by the defects caused by demolding between mold and resists. It’s necessary to investigate the demolding behavior of resists in contact detaching process. In this paper, von Mises stress and deformation of poly (methyl methacrylate) resists during demolding process were simulated and analyzed. A model considering adhesion and friction forces was adopted in the simulation of finite element method. The results indicated that stress concentration occurred at two places in the resists. Then stress concentration and deformation in resists were explained by means of simulating the evolution of demolding contact forces. Furthermore, contact forces of key locations were compared and related regularities were proposed. Depending on these regularities, targeted measures can be applied to protect different locations of resists.
  • Jun Taniguchi, Yuma Otsuka, Shingo Nose, Noriyuki Unno, Shin Hiwasa
    2015 年 28 巻 4 号 p. 563-568
    発行日: 2015/05/11
    公開日: 2015/10/05
    ジャーナル フリー
    The durability and transfer properties of several replica molds were evaluated. Replica molds with patterns of holes or pillars were fabricated by ultraviolet nanoimprint lithography (UV-NIL) from an epoxy-type UV-curable resin. The resin had an antifouling effect, permitting the fabrication of replica mold without the use of a release agent. The transfer properties of the molds were evaluated by contact-angle measurements on the mold and by scanning electron microscopy of the UV-NIL transferred pattern. The error rates were calculated from the scanning electron micrographs and the values was used to assess the lifetimes of the replica molds. The thickness of the residual layer of the replica mold was controlled by changing the roll-press method. A replica mold with a thick residual layer showed a longer lifetime than one with a thin residual layer. In addition, the use of a cushion material was effective in improving the lifetime. Molds with hole patterns showed a longer lifetime than those with pillar patterns, and a lifetime of around 1000 repetitions was achieved.
  • Kazuma Shimomukai, Hiroaki Kawata, Masaaki Yasuda , Yoshihiko Hirai
    2015 年 28 巻 4 号 p. 569-572
    発行日: 2015/05/25
    公開日: 2015/10/05
    ジャーナル フリー
    Polymethylmethacrylate (PMMA) and polystyrene (PS) are important materials for nano pattern fabrication processes such as the directed self-assembly (DSA) lithography. In this paper, the high selective etching of PMMA to PS films is presented by use of O2 + C4F8 mixed gas plasmas. Although both etch rates for the PS and PMMA films decrease by increasing the C4F8 content, the PS etch rate decreases faster than the PMMA one. The etching selectivity reaches to 6 when the C4F8 content is 65 %. The aspect ratio of nano pattern is enhanced by use of the high selective PMMA etching. The 250 nm depth trench pattern of the 80 nm width is successfully obtained from the 80 nm depth trench pattern.
  • Yuichiro Tsubomoto, Shuzo Hirata, Kenro Totani, Martin Vacha, Tos ...
    2015 年 28 巻 4 号 p. 573-577
    発行日: 2015/05/11
    公開日: 2015/10/05
    ジャーナル フリー
    A purely organic guest material with a long triplet excited state lifetime (τ > 1 s) was doped into two different π-conjugated amorphous hosts with similar glass transition temperatures (Tg). The phosphorescence quenching behavior of the guest material was investigated under vacuum conditions. When doped into a host that did not exhibit intermolecular hydrogen bonding, the τ of the guest decreased significantly at temperatures below the Tg of the host. Conversely, when doped into a host that did exhibit intermolecular hydrogen bonding, the τ remained almost unaffected at temperatures below the Tg of the host. The diffusion coefficient of oxygen, which was largely removed from the host materials under vacuum, was similar for both host materials. These results indicated that weak thermal diffusional motion of a host material intrinsically quenches long-lived triplet excitons of purely organic guest materials.
  • Takahiro Muraoka, Shunichi Kawasaki, Kazushi Kinbara
    2015 年 28 巻 4 号 p. 579-582
    発行日: 2015/06/05
    公開日: 2015/10/05
    ジャーナル フリー
    A comparison between temperature-responsive substances 1,1'-((2,5,8,11,14- pentaoxapentadecane-1,15-diyl)bis(2-phenyl-1,3-dioxane-5,5-diyl))bis(2,5,8,11-tetraoxatridecan-13-ol) (1) and PNIPAM on protein extraction revealed that an oligoethylene glycol based small amphiphile 1 and a polymeric material PNIPAM shows different extraction preferences, where 1 has a wider extraction capability including photo-active proteins such as cytochrome C compared to PNIPAM.
  • Yusuke Tsutsui, Tsuneaki Sakurai, Kenichi Kato, Masaki Takata, Shu ...
    2015 年 28 巻 4 号 p. 583-587
    発行日: 2015/05/11
    公開日: 2015/10/05
    ジャーナル フリー
    A representative π-conjugated motif, perylenediimide (PDI), when functionalized with taper-shaped semi-fluoroalkyl chains at one of its imide positions, self-assembled into various ordered phase structures depending on the type of side chains at the other imide position. Asymmetrical substitution by semi-fluoroalkyl/alkyl chains allowed the PDI molecule to form a rectangular columnar liquid crystalline mesophase while semi-fluoroalkyl/triethyleneglycol-substitution resulted in a lamellar-packed crystalline mesophase. In both mesophases, the immiscible nature of superhydrophobic/hydrophobic or superhydrophobic/hydrophilic segments works as the major droving force to lead semi-fluoroalkyl/alkyl or semi-fluoroalkyl/oxyethyleneglycol nano-domains, resulting in high stability of the mesophases with the wide temperature range over 100oC. The compound decorated with tapered semi-fluoroalkyl chains at the both imide positions exhibited complicated crystalline ordered phases with high thermal stability.
  • Shiki Yagai, Yu Hirai, Satoru Okamura, Yujiro Nakano
    2015 年 28 巻 4 号 p. 589-594
    発行日: 2015/05/11
    公開日: 2015/10/05
    ジャーナル フリー
    We demonstrated that amphiphilic dipolar π-conjugated systems can form several metastable self-assembled phases with distinct molecular packing and emission properties. The designed metastable state was converted to a more stable packing state by the application of both weak and strong mechanical stimuli. The rationale for this behavior involves unfavorable parallel orientation of the molecular dipole moments that become kinetically trapped upon segregation between incompatible molecular segments. The present study provides one of the basic molecular strategies for the design of new mechano-responsive materials with various π-conjugated luminophores.
  • Zhenduo Geng, Yuping Wang, Xinwei Yang, Qing Wang, Ruxu Zhang
    2015 年 28 巻 4 号 p. 595-599
    発行日: 2015/05/11
    公開日: 2015/10/05
    ジャーナル フリー
    Device stability in an organic light emitting devices (OLEDs) with a solution-processed mixing single layer by mixing of hole transport material (α-NPD), host materials tris-(8-hydroxy-quinoline) aluminum (Alq3), electron transport material 2,5-bis(6′-(2′,2″-bipyridyl))-1,1- dimethyl-3,4-diphenylsilole (PyPySPyPy) and dope material 5,6,11,12-tetraphenylnaphthacene (rubrene) was investigated. Maximum power efficiency of 5.6 lm/W was obtained by optimizing the mixing ratio of α-NPD: Alq3: rubrene:PyPySPyPy = 30:50:1:20. Luminance and power efficiency of mixed single layer device was largely improved compared to tri-layer heterojunction device. Lifetime testing demonstrated that the mixed single layer device exhibited longer operational lifetime of 340 hours, which was three times longer than the 105 hours for tri-layer device. Origin of improved device stability is analyzed by evaluating the current-voltage characteristics, dark sports growth and the polarized optical microscope images of mixed organic films.
  • Kosuke Ogura, Zenzo Hoshi, Eisuke Nagata, Ryoji Ichikawa, Takayuki ...
    2015 年 28 巻 4 号 p. 601-604
    発行日: 2015/05/11
    公開日: 2015/10/05
    ジャーナル フリー
    A hybrid film of poly(vinyl acetate) and tetrabutylphosphonium tetrafluoroborate together with 4-[bis(4-methylphenyl)amino]benzaldehyde as a fluorescent dopant was fabricated. It was found that the film exhibited thermally reversible phase-separation and dissolution transitions and that both homogeneous and phase-separated states could be obtained at room temperature by appropriate thermal treatments. In addition, emission modulation of the film was achieved by using the phase-separation-dissolution transitions. Fluorescence properties including fluorescence life times and quantum yields for the films in homogeneous film seemed to be unchanged by phase separation, suggesting that no significant change in the surrounding environment of the dopant molecules took place by phase-separation-dissolution cycles. Therefore, the emission modulation observed here was suggested to be due to mainly the change in the light-scattering effect caused by phase transitions.
  • Eman Al-Naamani, Marina Ide, Anesh Gopal, Akinori Saeki, Itaru Osa ...
    2015 年 28 巻 4 号 p. 605-610
    発行日: 2015/05/11
    公開日: 2015/10/05
    ジャーナル フリー
    Benzotrithiophene (BTT), where three thiophenes are fused to a central benzene, was polymerized with three kinds of electron accepting units, thiadiazolopyridine (TP), difluorobenzothiadiazole (FT), or naphthobisthiadiazole (NTz). These low bandgap polymers exhibited deep HOMO level (-5.4 ~ -5.6 eV) which can lead to increasing open circuit voltage (VOC) of bulk heterojunction organic photovoltaics (OPV). One of the BTT polymers and methano[60]fullerene (PCBM) blend showed a high VOC of 0.99 V, owing to the deep HOMO level and low energy loss. The best power conversion efficiency (PCE) of 2.7% was obtained for the BTT-NTz polymer blended with methano[70]fullerene. We have intensively characterized optoelectronic and morphological features of the new polymers by atomic force microscopy, 2-dimensional X-ray diffraction, and time-resolved microwave conductivity. This work highlights the potential of BTT-based polymers for an increase of PCE, because there are extra rooms for further improvement.
feedback
Top