Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
34 巻, 2 号
選択された号の論文の16件中1~16を表示しています
  • Tomoaki Osumi, Akio Misaka, Kousuke Sato, Masaaki Yasuda, Masaru Sasag ...
    2021 年 34 巻 2 号 p. 123-126
    発行日: 2021/06/11
    公開日: 2021/11/23
    ジャーナル フリー

    Built-in lens mask lithography realizes 3D imaging by a single exposure using a conventional proximity exposure system. 3D structures are divided into seed elements with different depth of focus, and the complex amplitude of the mask is designed by combining the wavefronts that image these elements. However, due to the interference of the seeds, the three-dimensional image may be missing. For this reason, it has been necessary to set the seed pattern based on empirical knowledge. In this paper, we have developed a system to automatically design the seed pattern. The system calculates the light intensity-distribution in space and places seeds with opposite phases to cancel where excessive image remains. On the other hand, additional seeds are placed in space where light intensity is not sufficient. This procedure is repeated step by step until the required image is obtained. Computational lithography will show that this results in the required 3D image.

  • Kazuki Daigo, Ryota Akama, Noriyuki Unno, Shin-ichi Satake, Jun Tanigu ...
    2021 年 34 巻 2 号 p. 127-132
    発行日: 2021/06/11
    公開日: 2021/11/23
    ジャーナル フリー

    Increasing the catalyst surface area is effective for enhancing the photocatalysis effect. To understand the impact of increasing the surface area, micropillar patterns were fabricated using ultraviolet (UV) nanoimprint lithography (UV-NIL). A titanium oxide (TiO2) layer was coated in a micropillar pattern by dip coating and this was used in a water treatment reactor. Photocatalytic decontamination was investigated using methylene blue (MB) aqueous solution. Changes in concentration of MB were observed during UV exposure to TiO2 in the MB aqueous solution. As a result, the reaction rate of the 50 μm diameter pillar pattern was accelerated; therefore, increasing the surface area is effective for photocatalysis using TiO2. On the other hand, the reaction rate of an 18.8 μm diameter pillar pattern was smaller than that of a flat surface because of a certain level of water-shedding effect.

  • Takao Okabe, Katsuyuki Yatagawa, Kazuki Fujiwara, Jun Taniguchi
    2021 年 34 巻 2 号 p. 133-138
    発行日: 2021/06/11
    公開日: 2021/11/23
    ジャーナル フリー

    We report a low-cost, high-throughput process for fabricating a moth-eye-like anti-reflector on a curved surface. First, a curved surface was made from a UV-curable resin via UV imprinting. Then, the moth-eye-like structures were formed directly on the polymer surface via oxygen ion-beam etching. This produced flexible, transparent, and curved polymer products with anti-reflective properties. The method avoids duplication, which is generally needed for conventional anti-reflector fabrication. We compared the anti-reflective performance both flat and curved lenses before and after etching. The reflectance after etching for 150 s was substantially 10% lower than that of the non-etched surface. The usefulness of this method for polymer optical products with anti-reflective properties was demonstrated.

  • Hiroki Uchida, Ryosuke Imoto, Tadashi Ando, Takao Okabe, Jun Taniguchi
    2021 年 34 巻 2 号 p. 139-144
    発行日: 2021/06/11
    公開日: 2021/11/23
    ジャーナル フリー

    The selection and design of appropriate resist materials is indispensable for a successful nanoimprint lithography (NIL) technique. Currently, the pattern resolution of NIL is below 10 nm. Therefore, atomic-scale analysis of the NIL process is required for further development in this field. In this study, we performed all-atom molecular dynamics (MD) simulations of the filling process of resist molecules in ultraviolet NIL (UV-NIL). To simulate the filling process, silicon molds with different trench widths (1, 2, and 3 nm) were pressed into different resist materials composed of two or four molecular species with different viscosities (viscosity range 4 to 5,566 mPa‧s) under constant pressure (100 atm). In the MD simulations, resists with viscosities lower than 10 mPa·s were successfully filled into the 3-nm wide trench. Lowering the resist viscosity shortened the time required for complete filling. In the resist consisting of four molecular species, the 1-nm-wide trench was preferentially filled by the lower-viscosity molecules; consequently, the resist molecules were non-uniformly distributed in the system. This inhomogeneity would lead to defects after the UV curing process. The MD simulations also showed that when mixed with small resist molecules, the multi-functional resist molecules can more easily enter a narrow cavity, which is advantageous for fabricating high-resolution patterns by UV-NIL. The molecular behaviors during the filling process observed in the MD simulations provide useful information for the future design of defect-free resists.

  • Sou Tsukamoto, Hidekatsu Tanabe, Ryuhei Yamamura, Kai Kameyama, Hiroak ...
    2021 年 34 巻 2 号 p. 145-148
    発行日: 2021/06/11
    公開日: 2021/11/23
    ジャーナル フリー

    A hybrid smart process and material designing system for nanoimprint is proposed based on combination of deep learning system and numerical simulations. The system consists of deep learning system based on experimental data base and simulated table data base. The system complements the missing information with each other, and suggests better processes condition and/or materials. We demonstrate process and material design for low temperature nanoimprinting process using glycol contained polyvinyl alcohol.

  • Ashiqur Rahman, Akira Watanabe
    2021 年 34 巻 2 号 p. 149-153
    発行日: 2021/06/11
    公開日: 2021/11/23
    ジャーナル フリー

    In this paper, a flexible and semi-transparent antenna is proposed having impedance bandwidth of 110 MHz (from 2.45 GHz to 2.56 GHz) of ISM band which covers the most popular (2.4 GHz) for Wi-Fi application all over the world. A simple dipole shape rectangular ring antenna with two extended edge on the opposite sides was prepared by laser direct writing on an Au sputtered PET film. The center part of the antenna was kept empty and transparent intentionally to incorporate with either a planar capacitor for microwave wireless charging or to integrate this antenna with a solar cell in future. The compact, miniature and flexibility of the antenna are suitable for easy integration in any smart devices or clothing for wireless charging to implement self-powered sensors. The performance of the patch antenna is evaluated using return loss (S11) parameter analysis. A measured reflection coefficient and simulated current distribution along with radiation pattern demonstrate that the fabricated antenna is suitable for Wi-Fi application.

  • Anju Kawakita, Noriyuki Uchida, Yunosuke Ryu, Takahiro Muraoka
    2021 年 34 巻 2 号 p. 155-159
    発行日: 2021/06/11
    公開日: 2021/11/23
    ジャーナル フリー

    An amphiphilic molecule Lipid-(RADA)2 consisting of a repeating RADA peptide sequence and alkyl chains appending a fluorescent nitrobenzoxadiazole (NBD) dye, was synthesized. In a fluorescence microscopic observation, localization of an aggregate containing Lipid-(RADA)2 at a bilayer membrane formed with 1,2-dioleoyl-sn-glycero-3-phosphocholine (DOPC) was observed.

  • Miki Mori, Kazushi Kinbara
    2021 年 34 巻 2 号 p. 161-165
    発行日: 2021/06/11
    公開日: 2021/11/23
    ジャーナル フリー

    A multiblock amphiphile CBA bearing a cationic imidazolinium moiety at its center formed different types of assembly in THF and CHCl3, which show characteristic emission bands around 300 and 465 nm upon excitation at 295 and 320 nm, respectively. These assemblies were able to be transferred into lipid bilayer membranes, keeping the similar spectral profiles with those in solutions. These results indicate a new potential of self-assembling processes for the control of supramolecular architecture hierarchically formed in lipid bilayer membranes.

  • Masaki Nobuoka, Koshi Kamiya, Shugo Sakaguchi, Akira Idesaki, Tetsuya ...
    2021 年 34 巻 2 号 p. 167-174
    発行日: 2021/06/11
    公開日: 2021/11/23
    ジャーナル フリー

    One dimensional nanowires systems with programmed multi-heterojunction along their axes have been presumed as an ideal nanomaterials platform for quantum confinements exhibiting novel electronic/photonic functions. Herein we have successfully produced free-standing nanowires with multi-heterojunctions of which spatial alignments are perfectly under control on a substrate by a facile protocol referred to as Single Particle Triggered Linear Polymerization method. Uniform nanowires were well-vertically aligned on substrates with tightly bound bases, and represented by the sequential bottom-up of ~ 10 nano-segments from copper phthalocyanine and Buckminster fullerene molecules. Efficient polymerization/crosslinking reactions with high enough G values of 20-40 (100 eV)-1 were rationalize the formation of the nano-segments with significant changes in their radial thickness. Although the released energy density by the employed high energy charged particle was extremely high, which is presumed from the value of linear energy transfer of 1 – 1.4 ×104 eV nm-1, however electronic and Raman spectroscopies confirmed clearly that the nano-segments in nanowires reflected well opto-electronic characteristics of molecules at least the outer boundaries, suggesting the future application of the present multi-heterojunction systems as magneto-optical nanomaterials. We are able to make our own choices of the molecules with designed electronic states, and program them to 0-1 dimensional nanomaterials with sequential connection along a direction by the present technique.

  • Masayoshi Higuchi, Yukio Fujii
    2021 年 34 巻 2 号 p. 175-180
    発行日: 2021/06/11
    公開日: 2021/11/23
    ジャーナル フリー

    Electrochromic (EC) displays are expected as energy-saving smart windows or digital signages because of the memory property. We succeeded in developing a designed and flexible electrochromic (EC) display device with Fe(II)-based metallo-supramolecular polymer (polyFe) using a mechanically etched ITO film. Mechanical etching an ITO film was performed with a cutting machine having a blade of which length was adjusted so as not to cut off the film. The fabricated EC device was composed of the etched ITO film, a polyFe layer, an electrolyte layer, a nickel hexacyanoferrate layer as the counter material, and another ITO film. The EC device showed unique changes of the display upon applying a voltage of 1.5 V between the two ITO electrodes. It was revealed that the EC changes were controlled by the etching pattern such as a closed circle or the formation of a narrow ITO path.

  • Toru Amano, Makoto Kobayasi, Satoshi Takei
    2021 年 34 巻 2 号 p. 181-186
    発行日: 2021/06/11
    公開日: 2021/11/23
    ジャーナル フリー

    Pullulan, a base polymer, is a water-soluble high-molecular-weight polysaccharide that easily forms films and is used for edible films and the like. We examined whether a photoresist material that makes the best use of its film-forming property and water solubility could be realized. The hydroxyl group of pullulan was modified with a photosensitive group to the extent that water solubility could be maintained, and a photosensitive material was created. This material is applied on a silicon wafer using spin coating, exposed with a mask contact exposure device, and then developed with water to evaluate sensitivity, etching resistance, and coating film strength. Microfabrication evaluation was performed. The result may be applicable as a water-soluble micropatterning material. Because this material does not use an organic solvent or a highly toxic strong alkaline developer, it is useful as a low environmental load-patterning material.

  • Toru Amano, Makoto Kobayasi, Satoshi Takei
    2021 年 34 巻 2 号 p. 187-193
    発行日: 2021/06/11
    公開日: 2021/11/23
    ジャーナル フリー

    Dextrins commonly known as sugar chains include 1,4- and 1,6-bonded dextrins, which are obtained by enzymatically decomposing starch, and is indigestible dextrin, which is obtained by decomposing starch by an enzymatic reaction and forming 1,2- or 1,3-bonds by acid catalyst treatment. Herein we investigate the water-soluble micropatterning performance of these indigestible dextrins and the change in physical characteristics depending on the structure. The hydroxyl group of each dextrin was modified with a photosensitive group while maintaining water solubility, resulting in a water-soluble photosensitive material.

     This material was applied onto a silicon wafer using spin coating, exposed with a mask contact exposure device, and then developed with water to evaluate the sensitivity, etching resistance, and coating film strength. The microfabrication evaluation indicated that the performance was sensitive to the binding mode, and it was found that the indigestible dextrin is functional as a water-soluble micropatterning material. Given that this material does not require an organic solvent or a highly toxic strong alkaline developer, it is effective as a low environmental load patterning material.

  • Yu Shoji, Keika Hashimoto, Yutaro Koyama, Yuki Masuda, Hitoshi Araki, ...
    2021 年 34 巻 2 号 p. 195-199
    発行日: 2021/06/11
    公開日: 2021/11/23
    ジャーナル フリー

    Low stress / low temperature curable photosensitive polyimide (PSPI) with excellent reliability during thermal cycle (TC) has been developed for a quite some time. The effect of residual stress concerning PSPI on copper-PSPI inter-connect structure was examined by Finite Element Method (FEM), and it became evident that the crack has tendency to appear at the top corner between copper and PSPI, where highest stress lay during the cooling process of TC test. Low stress PSPI is one of the candidates to reduce residual stress on copper-PSPI inter-connect structure, and indeed, no crack was found after FEM and TC test. Through a series of tests mentioned above, we came to realize that an introduction of soft segment into polyimide backbone of PSPI is the key factor to create a robust low stress PSPI. In addition of creating a robust low stress / low temperature curable PSPI, further research was conducted to improve the copper compatibility of PSPI through grasping the ways of controlling the oxidation of copper.

  • Ayaka Azuma, Satoshi Abe, Mamoru Sasaki
    2021 年 34 巻 2 号 p. 201-204
    発行日: 2021/06/11
    公開日: 2021/11/23
    ジャーナル フリー

    Advanced packaging technology requires low temp. curable and low residual stress material as dielectric layer. Because wafer warpage will be increased with increasing the number of redistribution layers (RDLs) and higher residual stress could induce reliability failure due to cracking and delamination. One method to make residual stress lower is to decrease curing temperature. However, lower warpage by decreasing cure temp. is in a trade-off relation with lower reliability performance due to insufficient imidization ratio of polyimide dielectric layer. To overcome the trade-off relationship, we investigated the effects of number of functional groups and backbone in cross-linker agent. High imidization and low residual stress by curing at 160deg.C have been demonstrated by applying bi-functional cross-linking agent.

  • Mitsuaki Kobayashi, Yukihisa Okada, Takaaki Shirai, Osamu Sawajiri, Ro ...
    2021 年 34 巻 2 号 p. 205-211
    発行日: 2021/06/11
    公開日: 2021/11/23
    ジャーナル フリー

    The high purity requirements of materials used in semiconductor manufacturing are being pushed to unprecedented levels as demand for reliability in computer processors over increasingly longer lifetimes continues to rise. The production of these high purity chemicals requires new purification methods and technologies where the metal concentrations of low parts per billion (ppb) were effectively reduced to low parts per trillion (ppt). The new approach discussed in this paper would present a method for dividing the fluid through micro-channels that form tortuous pathways. These micro-channels allow for further dividing and converging of the fluid thereby presenting the metal species to the purifying surfaces throughout the porous matrix. The ion exchange capability was a function of the concentration and the presence of the species in the solution. Two ion exchange chemistries of strong acid and chelating were made into these structures and their purification performances were assessed and compared in terms of removal efficiencies. Furthermore, these two chemistries were evaluated in series to demonstrate the overall synergistic purification capabilities.

  • Shunya Saegusa, Noriyuki Narukage, Yuichi Utsumi, Akinobu Yamaguchi
    2021 年 34 巻 2 号 p. 213-218
    発行日: 2021/06/11
    公開日: 2021/11/23
    ジャーナル フリー

    X-ray lithography using synchrotron radiation enables the microfabrication of plastic solid materials in scale from micrometers to millimeters with high aspect and nanoscale surface roughness. We investigate fabrication of X-ray collimator mold for the space telescope using the X-ray lithography. A honeycomb-like structure consisting of hexagonal columns with diameter of several hundred micrometers is required for the X-ray collimator. In this study, target resin molds for manufacturing a metallic X-ray collimator were created from polymethyl methacrylate (PMMA) substrate. We succeeded in producing a honeycomb pillar array structure with diagonal length of 100 µm and its distance of 14.1 µm between pillars.

feedback
Top