Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
35 巻, 1 号
選択された号の論文の16件中1~16を表示しています
  • Akihiro Konda, Hiroki Yamamoto, Shusuke Yoshitake, Takahiro Kozawa
    2022 年 35 巻 1 号 p. 1-7
    発行日: 2022/12/16
    公開日: 2023/03/14
    ジャーナル フリー

    The dissolution kinetics and molecular weight in main-chain scission type resists such as poly(methyl methacrylate) (PMMA) and ZEP520A were investigated using a quartz crystal microbalance (QCM) method and a Gel Permeation Chromatography (GPC) to clarify the effects of the molecular weight after polymer degradation on the dissolution kinetics. G-values of main chain scissions in PMMA and ZEP520A are estimated using GPC measurement. The G-value of ZEP520A showed higher G-values than that of PMMA. There are three molecular weight regions in PMMA, whereas there are only two molecular weight regions in ZEP 520A. The dissolution behavior depends on molecular weight after polymer degradation in both PMMA and ZEP 520A. These results indicate that ZEP520A is better to be better lithographic performance.

  • Masakazu Umezawa, Hiroyuki Kurahashi, Karina Nigoghossian, Kyohei Okub ...
    2022 年 35 巻 1 号 p. 9-16
    発行日: 2022/12/16
    公開日: 2023/03/14
    ジャーナル フリー

    Rare-earth-doped ceramics, which are near-infrared (NIR) fluorescent materials, have applications as photonic materials in various fields, including medical biology. The NIR wavelength range in which these rare-earth-based materials function is highly transparent in biological tissues and is suitable for deep-tissue imaging. However, the most commonly used 980-nm excitation light is partially absorbed by the water involved in the observation system. In the present study, we focused on the wavelength bands of 800-nm excitation and 1150-nm emission, where no water absorption exists, and investigated the rare-earth doping composition to obtain a ceramic material, NaYF4, with the above excitation and emission wavelengths. NaYF4 particles co-doped with rare-earth ions Er3+ (sensitizer to 800 nm) and Ho3+ (emitter at 1150 nm) were designed for over-1000 nm NIR fluorescent probes. The fluorescence intensity at 1150 nm was maximum at a rare-earth composition of Y3+: Er3+: Ho3+ = 82:14:4 (mol%). The obtained fluorescent Er3+/Ho3+-doped NaYF4 particles exhibited a long fluorescence lifetime (3300 ± 120 μs at 25℃), which is characteristic of rare-earth elements. Er3+/Ho3+-doped NaYF4 is expected to have applications as a long-lifetime NIR-II fluorescent material with the highest transparency in biological tissues.

  • Yoshihiro Agata, Yuta Nabae, Toshiaki Ougizawa, Teruaki Hayakawa
    2022 年 35 巻 1 号 p. 17-22
    発行日: 2022/12/16
    公開日: 2023/03/14
    ジャーナル フリー

    A polystyrene-b-poly(methylmethacrylate) (PS-b-PMMA) modified with a fluorine-containing functional group at its chemical junction was designed to study the effect of the modified chemical junction on the microphase separation behavior. Various molecular weights of modified and unmodified PS-b-PMMA were synthesized, and effective χ parameter for them were estimated by small-angle X-ray scattering. The effect of the modified chemical junction was clearly observed in the measured effective χ parameters for the block copolymers below 200 of degree of polymerization. In contrast, the modified PS-b-PMMA over 200 of degree of polymerization showed quite similar behavior to the unmodified PS-b-PMMA.

  • Pyae Thu, Mina Han
    2022 年 35 巻 1 号 p. 23-28
    発行日: 2022/12/16
    公開日: 2023/03/14
    ジャーナル フリー

    To investigate the effect of substituents and substitution positions on absorption and fluorescence spectroscopic properties, and self-assembled structures, we designed triangular azo chromophores (1-3) in which three azo groups are connected to a central ring. 1 and 2 where electron-donating methoxy (MeO) groups are attached to para and meta positions, respectively, had different melting temperatures and colors. A dilute para-MeO (1) solution was redder than 3 with electron-withdrawing bromo (Br) groups and liquefied at lower temperatures. The elongated structure formed as a result of the one-dimensional (1D) assembly of 1 showed little fluorescence, whereas the chopstick-shaped structure and the entangled fiber assembled from 2 and 3, respectively, were brightly red fluorescent. Our investigation suggest that the molecular geometry and steric effects play important roles in self-assembly and the resulting fluorescence characteristics.

  • Florian Kaefer, Zoey Meng, Rachel Segalman, Christopher K. Ober
    2022 年 35 巻 1 号 p. 29-33
    発行日: 2022/12/16
    公開日: 2023/03/14
    ジャーナル フリー

    Low stochastics, high sensitivity photoresists remain a goal for lithography. Here we present a study of a polymer system, polypeptoids, using a synthetic strategy borrowed from the biomedical community to make improvements to these resist characteristics through control of polymer sequence. We describe peptoid polymers that possess identical molecular size, composition and sequence with higher molecular uniformity than possible by conventional synthetic techniques. We report the results of exposure of these materials to DUV radiation and the chemical changes that occur. In addition, we highlight unexpected observations of the role of sequence on lithographic performance.

  • Toru Fujimori
    2022 年 35 巻 1 号 p. 35-40
    発行日: 2022/12/16
    公開日: 2023/03/14
    ジャーナル フリー

    In 2019, finally, extreme ultraviolet (EUV) lithography has been applied to high volume manufacturing (HVM) for preparing advanced semiconductor devices. That was very important year for EUV enthusiasts and semiconductor industry. Because it takes for a long time, more than 30 years, to study EUV lithography for realizing HVM. With recent rapid progress on the source power improvement, EUV lithography development including photoresist materials has been achieved HVM requirements. However, the performance of EUV resist materials are still not enough for the expected HVM requirements, even by using the latest qualifying EUV resist materials. One of the critical issues is the stochastic issues, which will be become ‘defectivity’, like a nano-bridge or a nano-pinching.

     The analyzing summary of the stochastic factors in EUV lithography, and their improvement status are described in this paper. 2 (two) major stochastic issues, which are photon stochastic and chemical stochastic, were observed in the lithography steps. And the improvement status of each stochastic issues including lithographic results are also described.

  • Yutaro Iwashige, Hiroto Kudo, Kazumasa Okamoto, Takahiro Kozawa
    2022 年 35 巻 1 号 p. 41-47
    発行日: 2022/12/16
    公開日: 2023/03/14
    ジャーナル フリー

    We examined the synthesis, physical properties, and resist properties of various iodine-containing molecules and polymers as resist materials. By condensation reaction of 2,6-dimethylphenol (DMP) with 4-iodebenzaldehyde (IBA), 3,5-diiodesalicylaldehyde (DISA) and 4-hydroxy-3,5-diiodebenzaldehyde (HDIA), the corresponding iodine containing compounds DIPM, 2-DIPM, and 4-DIPM were synthesized. The reaction of these compounds with adamantyl bromo acetate, yielding corresponding compounds with adamanty ester groups DIPM-AD, 2-DIPM-AD, and 4-DIPM-AD. The polyaddition of 4-DIPM with divinyl ethers such as diethylene glycol divinyl ether (DGDE), 1,4-bis(allyloxymethyl)cyclohexane (BAC), 2,2'-bis(4-vinyloxyethoxyphenyl)perfluoropropane (BVFP) was investigated to give iodine containing hyperbranched polyacetals poly(4-DIPM-co-DGDE), poly(4-DIPM-co-BAC), poly(4-DIPM-co-BVFP). In the same way, tri(4-hydoroxyphenyl)methane (THM) was used instead of 4-DIPM, the corresponding hyperbranched polyacetals poly(THM-co-DGDE), poly(THM-co-BAC), and poly(THM-co-BVFP) were also synthesized. Almost all resist materials had good physical properties (solubility, film formation, high thermal stability,) and excellent thickness loss property. The resist sensitivity in the extreme ultraviolet (EUV) exposure tool showed that these resist materials were good candidate to offer higher resolution resist pattern, i.e., E0 = 7.0 mJ/cm2 for DIPM-AD, E0 = 7.0 mJ/cm2 for 2-DIPM-AD, E0 = 2.0 mJ/cm2 for 4-DIPM-AD, poly(THM-co-DGDE) and poly(THM-co-BAC), E0 = 1.0 mJ/cm2 for poly(4-DIPM-co-DGDE) and poly(4-DIPM-co- BVFP).

  • Yosuke Ohta, Atsushi Sekiguchi, Shinji Yamakawa, Tetsuo Harada, Takeo ...
    2022 年 35 巻 1 号 p. 49-54
    発行日: 2022/12/16
    公開日: 2023/03/14
    ジャーナル フリー

    It has been reported that the good correlation in sensitivity and resolution between EUV exposure and EB exposure because of the similar mechanism of the photochemical-reaction in photoresists during exposure. However, in the early stages of EUV resist development, there are problems on the points of the cost and time-consuming to evaluate all EUV resist materials by EB exposure. Therefore, we investigated the possibility of using KrF exposure as the initial screening of EUV resists. If the correlation between KrF exposure, EB exposure and EUV exposure can be found, it will be possible to evaluate photoresists in a step-by-step manner, such as screening with KrF exposure first, followed by EB exposure, and finally EUV exposure in the initial evaluation stage. In this paper, we report on our investigations in the case of novolac resists, PHS chemically amplified resists, acrylic chemically amplified resists, and EUV polymer resists.

  • Tomohito Kizu, Shinji Yamakawa, Takeo Watanabe, Seiji Yasui, Tomoyuki ...
    2022 年 35 巻 1 号 p. 55-59
    発行日: 2022/12/16
    公開日: 2023/03/14
    ジャーナル フリー

    Extreme ultraviolet (EUV) lithography at 13.5 nm has become an essential technology for the mass production of state-of-the-art semiconductor integrated circuits for use in devices such as smartphones. Chemically amplified resists (CARs) are primarily used for their production, and several performance requirements have to be met to achieve fine patterning. The requirements are known for simultaneous achievement of resolution, low line edge roughness (LER), high sensitivity, and low outgassing. As photoacid generators (PAGs) are ingredients for determine CARs sensitivity, high absorption of EUV light, acceptability of the secondary electron from matrix polymer, and high decomposability (high acid generation efficiency) are required in EUV lithography. Most onium-salt structures that have been proposed for use as EUV PAGs possess a sulfur atom at its center of cation. In this study, we focus on selenonium salts possessing selenium atoms at its center of cation, which exhibit strong absorption of EUV light, and evaluate their utility in EUV lithography.

  • Atsunori Nakamoto, Shinji Yamakawa, Tetsuo Harada, Takeo Watanabe
    2022 年 35 巻 1 号 p. 61-65
    発行日: 2022/12/16
    公開日: 2023/03/14
    ジャーナル フリー

    In extreme ultraviolet lithography (EUVL), it is required to develop EUV resist which has low line width roughness (LWR) for the further miniaturization of circuit pattern. In order to reduce LWR, it is necessary to analyze and control the chemical-components spatial distribution in the resist thin film. We have reported that the measurement of chemical-components spatial distribution in the resist thin film coated on the Si3N4 membrane using the method of the transmission-mode resonant soft X-ray scattering (RSoXS). In this study, in order to analyze the chemical-structure-size distribution in the resist thin film on a Si wafer under similar condition adapted to the resist-coating actual process, we examined the grazing-incidence RSoXS (GI-RSoXS). A chemically amplified resist (CAR) and a non-CAR were spin-coated on silicon wafers, which had varied film thickness of 20, 50, and 100 nm. The scattering profile of each sample was measured at the incident photon energy of 280 and 296 eV. As a result, it is suggested that the chemical-structure-size distribution in the resist thin films depends on the resist film thickness. It is confirmed that the GI-RSoXS method is very effective to evaluate the chemical-structure-size distribution of resist thin films.

  • Julius Joseph Santillan, Kyoko Shimizu, Ryuichi Otogawa, Toshiro Itani
    2022 年 35 巻 1 号 p. 67-74
    発行日: 2022/12/16
    公開日: 2023/03/14
    ジャーナル フリー

    This work explores the application of alternative developer solutions (“developers”) with the aim of understanding their potential effectivity in the reduction of resist-based stochastic pattern defects (or “stochastic defects”) in extreme ultraviolet lithography (EUVL). Specifically, the application of a quaternary ammonium hydroxide type aqueous developer; ethyltrimethylammonium hydroxide (ETMAH) in comparison to the industry de facto standard aqueous alkali tetramethylammonium hydroxide (TMAH) developer was investigated. Focusing on EUV exposed contact hole (CH) patterns on a typical chemically amplified resist (CAR), the effect of these developers on stochastic defects were assessed. As a result, patterning investigations showed that the lithographic performance of the CAR developed in ETMAH is comparable to the those obtained with TMAH. In situ resist dissolution analysis using the high-speed atomic force microscope (HS-AFM) confirms this as it showed that the rate of CH formation during resist dissolution in both developers are relatively the same. Moreover, it was also understood that compared to the commonly used alkali developer concentration of 0.26N, a lower ETMAH developer concentration of 0.20N resulted in stochastic defect margin improvement, while maintaining lithographic performance. In situ resist dissolution analysis showed an obvious slowing down of CH pattern formation rate at 0.20N concentration, suggesting the possibility that of over-dissolution at the higher concentration condition, translating to an increase in merging CH defects. The results from this study show the advantages of further pursuing optimal developers for EUVL. This is especially significant as these findings indicate how optimal developers mitigate resist-based stochastic defects while maintaining lithographic performance.

  • C. Popescu, G. O'Callaghan, C. Storey, A. McClelland, J. Roth, E. Jack ...
    2022 年 35 巻 1 号 p. 75-79
    発行日: 2022/12/16
    公開日: 2023/03/14
    ジャーナル フリー

    Irresistible Materials (IM) is developing novel resist systems based on the multi-trigger concept, which incorporates a dose dependent quenching-like behaviour. In this study, we present the results that have been obtained using Multi Trigger Resists (MTR) by performing EUV exposures on the ASML NXE EUV scanner at IMEC. The MTR is a negative tone high opacity crosslinking resist incorporating. Pitch 28nm dense patterns can be patterned at a dose of 59mJ/cm2, a line width of 12.5nm, and a biased LWR of 3.91nm. These resist formulations have also been used to pattern 20nm diameter pillars on a hexagonal 40nm pitch with a dose of 51mJ/cm2, and a CDU of 3.5nm; and also pillars at pitches of 34nm hexagonal with a dose of 80mJ/cm2 to achieve 17.5nm diameter pillars. High photospeed approaches, which have patterned p28 lines and p34 hex pillars at sub-30 mJ/cm2 doses are also introduced.

  • Albert M. Brouwer
    2022 年 35 巻 1 号 p. 81-86
    発行日: 2022/12/16
    公開日: 2023/03/14
    ジャーナル フリー

    Hybrid organic/inorganic materials are considered as the Extreme Ultraviolet photoresists of the future. Compared to chemically amplified polymer-based photoresists they offer higher EUV absorption cross sections, and higher etch resistance. The chemical reactions that occur in these materials upon excitation with EUV or other high energy radiation have been investigated over the past 8 years. This paper summarizes the findings for two classes of metal-based resists: metal oxo clusters with acrylate ligands, and organotin oxo cages.

  • Cong Que Dinh, Seiji Nagahara, Yuhei Kuwahara, Arnaud Dauendorffer, Ke ...
    2022 年 35 巻 1 号 p. 87-93
    発行日: 2022/12/16
    公開日: 2023/03/14
    ジャーナル フリー

    One of the critical challenges for delivering next nodes or high-NA extreme ultraviolet (EUV) lithography to high volume manufacturing (HVM) in the semiconductor industry is to have a high-performance EUV resist process. The high-performance resist process needs to simultaneously meet multiple requirements, such as high resolution, high sensitivity, low roughness, a low defect level, and good global CD uniformity (CDU). In this paper, we will introduce a new wet development (DEV) method to improve the performance of metal oxide resists (MOR), which is named ESPERTTM** (Enhanced Sensitivity develoPER Technology). This newly invented method can meet multiple requirements together where it is not possible with the conventional development method. With 36 nm pitch pillar patterns, we have confirmed with after etch inspection (AEI) data that the new method produced 22% improvement in EUV dose and 7% improvement in LCDU, simultaneously. No pattern collapse was observed at least up to 15.4 nm pillar size. In the case of 30 nm pitch line/space (L/S) pattern, the improvements were 26% in EUV sensitivity and 12.3% in LWR also with AEI results. Furthermore, the new method could also shift the bridging cliff 0.5 nm to the larger line CD while its global CDU was improved 2.6 times. The new data achieved by the new wet development method make us believe that MOR are mostly ready for HVM and this new method is also aiming to be used in high NA EUV lithography in the near future.

    **) ESPERTTM is trademarks of Tokyo Electron Limited.

  • Quentin Evrard, Najmeh Sadegh, Yasin Ekinci, Michaela Vockenhuber, Nic ...
    2022 年 35 巻 1 号 p. 95-100
    発行日: 2022/12/16
    公開日: 2023/03/14
    ジャーナル フリー

    A positive-tone extreme ultraviolet (EUV) photoresist was obtained via the exchange of the hydroxide ions of tin-oxo-hydroxo cages with tetrakis(pentafluorophenyl)-borate. Thin films were obtained after spin coating on silicon substrates and exposed to line patterns in interference lithography at 92 eV (13.5 nm). For low doses and development with ethylbenzene positive tone patterns were obtained. In contrast, the exchange of the hydroxide ions of tin-oxo-hydroxo cages with tetrakis(4-methylphenyl)-borate or tetrakis[3,5-bis(1,1,1,3,3,3-hexafluoro-2-methoxy-2-propyl)phenyl]-borate led to the negative-tone photoresist behaviour that has previously been observed for tin-oxo cages with all other counterions. In situ exposures at 92 eV and subsequent X-ray Photoelectron Spectroscopy were performed on a film of tin-oxo-hydroxo cages with tetrakis(pentafluorophenyl)-borate anions to probe the chemical changes induced by the EUV exposure. This shows that the C-F bonds of the anions are relatively stable.

  • Yusuke Otsubo, Kazunori Sakai, Kazuki Kasahara, Hong Xu, Emmanuel P. G ...
    2022 年 35 巻 1 号 p. 101-104
    発行日: 2022/12/16
    公開日: 2023/03/14
    ジャーナル フリー

    The high-NA extreme ultraviolet (EUV) lithography scanner, which will push the resolution limit to single-digit nm half pitch in a single exposure step, is predicted to be introduced for the most advanced technology node in a few years. To successfully print such fine patterns with enough sensitivity and low roughness, entirely new photoresist platforms superior to traditional organic polymer-based chemically amplified resists (CAR) are essential. We have been pursuing novel high-resolution photoresist material under the assumption that incorporating inorganic atoms and precise control of molecular sizes and structures are the key solution to print the finest featured patterns without stochastic printing failures. Herein we overview our recent progress in metal-containing photoresist materials and report our preliminary results of deep ultraviolet (DUV) patterning with a new organic single-component molecular glass photoresist that possesses ultimate material heterogeneity.

feedback
Top