Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
Volume 34, Issue 1
Displaying 1-19 of 19 articles from this issue
  • Sylvan Sunny Koyagura, Virendra Majarikar, Hiroaki Takehara, Takanori ...
    2021 Volume 34 Issue 1 Pages 1-5
    Published: June 11, 2021
    Released on J-STAGE: November 23, 2021
    JOURNAL FREE ACCESS

    Nanoparticles, such as exosomes or liposomes, have been widely studied using poly(dimethylsiloxane) (PDMS) microchannels. The interaction between nanoparticles and solid surfaces is an important subject for basic and applied research on nanoparticles, but there have been few reports on the use of microchannels for this purpose. Micro-scale systems serve as a useful platform for adsorption analysis because of their large surface-to-volume ratio. In this study, we attempted to develop a platform to study the adsorption phenomena of nanoparticles on solid surfaces using a microchannel, in which a model that analyzes dynamic (i.e., non-equilibrium) adsorption was used. This model allowed quantitative analysis of nanoliposome adsorption onto the surface of a PDMS microchannel.

    Download PDF (729K)
  • Shengjie Fang, Masakazu Umezawa, Kyohei Okubo, Kohei Soga
    2021 Volume 34 Issue 1 Pages 7-10
    Published: June 11, 2021
    Released on J-STAGE: November 23, 2021
    JOURNAL FREE ACCESS

    Near-infrared (NIR) light has been used for in vivo deep imaging in the biomedical field owing to its high permeability in biological tissues. Conventional fluorescence bioimaging requires simultaneous optical excitation, which can induce undesired results such as autofluorescence. In the present study, we report a polymer-based NIR afterglow fluorescent complex by using NIR dye, LDS 821, and SrAl2O4: Eu, Dy powder. To obtain the complex, SrAl2O4: Eu, Dy was first mixed with LDS 821 solution and dried at 65 °C for 12 h. Then, they were further mixed with pentaerythiritol tetraacrylate (PETA) and photopolymerization initiator and then subjected to phopolymerization reaction. Poly-PETA was effective for not only retain both the dye and inorganic powder but also preventing the denaturation of SrAl2O4: Eu, Dy by water. The obtained polymer-based complex emits NIR afterglow fluorescence (wavelength: 760−780 nm) with a long fluorescence lifetime (5.0 min). This material design will provide a choice of obtaining NIR luminescent imaging phosphors for deep tissues without simultaneous light irradiation during image acquisition.

    Download PDF (586K)
  • Xavier Chevalier, Cindy Gomes Correia, Gwenaelle Pound-Lana, Philippe ...
    2021 Volume 34 Issue 1 Pages 11-16
    Published: June 11, 2021
    Released on J-STAGE: November 23, 2021
    JOURNAL FREE ACCESS

    A concept of patternable top-coats dedicated to directed self-assembly of high-χ block copolymers is detailed, where the design enables a crosslinking reaction triggered by thermal or photo-activation. Nanostructured BCP areas with controlled domains orientation are selected through a straightforward top-coat lithography step with unique integration pathways. Additionally, the crosslinked nature of the material enables the suppression of the BCP dewetting, while exhibiting exceptional capabilities for the construction of 3D stacks.

    Download PDF (1089K)
  • Takahiro Kozawa, Takao Tamura
    2021 Volume 34 Issue 1 Pages 17-25
    Published: June 11, 2021
    Released on J-STAGE: November 23, 2021
    JOURNAL FREE ACCESS

    Transistors have been miniaturized to increase their integration. With miniaturization, the thickness of the patterning material, called a resist, has been decreased to prevent them from collapsing. In this study, the resist film thickness dependence of the latent images of chemically amplified electron beam resists was investigated using the simulation on the basis of their sensitization and reaction mechanisms. The decompositions of sensitizers (photoacid generators) were significantly affected by the dynamics of low-energy electrons at the interfaces of resist films. The subsequent deprotection was also affected by the initial acid distribution. Simulation results indicated that such interfacial effects basically increased with the decrease of resist film thickness.

    Download PDF (2116K)
  • Toshiyuki Horiuchi, Jun Watanabe, Jun-ya Iwasaki, Hiroshi Kobayashi
    2021 Volume 34 Issue 1 Pages 27-33
    Published: June 11, 2021
    Released on J-STAGE: November 23, 2021
    JOURNAL FREE ACCESS

    Plastic optical fiber matrices with squared ends were investigated. Such fiber matrices are particularly required for printing two dimensional code marks by using them as new lithography tools combining with light emitting diodes. A large number of fibers with a diameter of 500 µm were packed in an oblong slit of a jig, and fiber ends were simultaneously transformed into square shapes by heating the jig on a hotplate. Next, three linear arrays, each composed of 10 fibers, were simply stacked and bound without coating any adhesives and/or opaque films. It was anticipated that light leaks from neighbored bright fibers degraded the printed pattern qualities. However, checker patterns were normally printed without influenced by neighbored bright fibers when the fiber ends were projected on a wafer through a 1/10 projection lens. Considering the advantages, a regularly arranged 10×10 fiber matrix was fabricated on trial for demonstrating the availability of the matrix required for developing a matrix-exposure lithography system.

    Download PDF (1011K)
  • Hiroshi Kobayashi, Tomoki Iwaoka, Kazuki Oi, Toshiyuki Horiuchi
    2021 Volume 34 Issue 1 Pages 35-40
    Published: June 11, 2021
    Released on J-STAGE: November 23, 2021
    JOURNAL FREE ACCESS

    A new simple and low-cost optical lithography method utilizing speckles was developed for printing random patterns on surfaces of three-dimensinal objects with various shapes, and patterning characteristics were investigated by assembling a handmade exposure system. In the system, a laser beam was irradiated on a transparent diffuser plate, and generated speckles were projected onto a wafer coated with a resist. As a result, resist patterns with random shapes were successfully formed after the development. The size and number of patterns were controllable by adjusting the exposure time. Pattern sizes were between several tens microns and a few hundred microns. It was demonstrated also that the pattern sizes were controlled by changing the wafer position from the diffuser plate. However, the sizes and numbers of patterns were varied together when the exposure time or the distance between the diffuser and the wafer was changed.

    Download PDF (1243K)
  • Yasumitsu Orii, Shuichi Hirose, Akihiro Fujita, Masakazu Kobayashi
    2021 Volume 34 Issue 1 Pages 41-47
    Published: June 11, 2021
    Released on J-STAGE: November 23, 2021
    JOURNAL FREE ACCESS

    As the use of IT increases importance with big data and AI, the issue of power consumption has been highlighted. Under these circumstances, the development of new materials is more and more important. Materials Informatics (MI) is one of the hottest technologies in the material development field, because of its potential to reduce the time and costs of discovering innovative materials. To achieve this, the key is to collect data that has been accumulated for many years at research institutions and companies, and to make information extracted from the data into knowledge. This article introduces the development of two methods based on AI: the “cognitive approach”, which reads vast amounts of literature information and digitizes data, and the “analytic approach”, which theoretically estimates the structure and physical properties of chemical substances from predictive models.

    Download PDF (1250K)
  • Takeo Watanabe, Tetsuo Harada, Shinji Yamakawa
    2021 Volume 34 Issue 1 Pages 49-53
    Published: June 11, 2021
    Released on J-STAGE: November 23, 2021
    JOURNAL FREE ACCESS

    Extreme ultraviolet lithography was started to use for the production of 7-nm node-logic-semiconductor devices in 2019. And it was adapted to use for high volume manufacturing (HVM) of 5-nm logic devices in 2020. EUVL is required to be extended to use in 1.5-nm-node-device fabrications. However, it still has many technical issues. Especially, for EUV resists, simultaneous achievement of high sensitivity and low line edge width are required. To solve the EUV resist issue, the fundamental work using synchrotron in soft X-ray region is necessary. The fundamental evaluation study of EUV resist at NewSUBARU synchrotron light facility is described in this paper.

    Download PDF (1592K)
  • Makoto Muramatsu, Arisa Hara, Satoru Shimura, Hidetami Yaegashi
    2021 Volume 34 Issue 1 Pages 55-62
    Published: June 11, 2021
    Released on J-STAGE: November 23, 2021
    JOURNAL FREE ACCESS

    Extreme ultraviolet (EUV) with a wavelength of 13.5 nm has already been mass-produced, but serious technical problems remain an important issue. One of them is the line edge roughness (LER) value for critical dimension (CD). Local variations such as intra-filed CD uniformity and LER are usually identified from the average CD calculated using top-down view observations. However, it is not possible to get an overall picture of LER. In this study, cross-section SEM was applied efficiently to visualize or quantify the basic behavior of the resist. In addition, the resolution limits and minimum structural units that make up the resist pattern associated with LER are discussed.

    Download PDF (3288K)
  • Eric Liu, Amir Hegazy, Hyeonseon Choi, Maximilian Weires, Robert Brain ...
    2021 Volume 34 Issue 1 Pages 63-70
    Published: June 11, 2021
    Released on J-STAGE: November 23, 2021
    JOURNAL FREE ACCESS

    Extreme ultraviolet (EUV) lithography is required for advanced node semiconductor device fabrication. The stochastic effects in EUV lithography are problematic, especially with regards to pattern roughness and defect formation. In this study, we performed atomic force microscopy (AFM) on an EUV photoresist surface to determine the surface roughness, height histogram, line scan, area ratio, and power spectral density (PSD). Polymethyl methacrylate (PMMA) for nonchemically amplified resist (non-CAR), and poly(4-hydroxystyrene)(t-butyl acrylate) copolymer (PHS:tBA) and poly(4-hydroxystyrene)(polystyrene)(t-butyl acrylate) copolymer (PHSPS:tBA) with/ di-(t-butylphenyl)iodonium perfluorobutane sulfonate (TBPI-PFBS)/tetrabutylammonium lactate (TBAL) for chemically amplified resist (CAR) were examined. In this CAR system, the exposure and dark loss contributed to the surface variation of root mean square (RMS) of 1.5 nm and 0.95 nm under a nominal exposure dosage of 8 μC/cm2. The contribution of dark loss was further evaluated from the effects of backbone polymer composition and photoacid generator (PAG) loading. The dark loss induced surface roughness can be attributed to the competition of etch selectivity in the resist components. A skewness of the height histogram and change of correlation in PSD are related to the dark loss induced surface variation.

    Download PDF (1116K)
  • Jingyuan Deng, Florian Kaefer, Sean Bailey, Yusuke Otsubo, Zoey Meng, ...
    2021 Volume 34 Issue 1 Pages 71-74
    Published: June 11, 2021
    Released on J-STAGE: November 23, 2021
    JOURNAL FREE ACCESS

    Low stochastics, high sensitivity photoresists remain a goal for EUV lithography. Here we present studies of two polymer systems that attempt to make improvements to these resist characteristics using two different chemical approaches. In one system we work on scissionable poly(phthalaldehyde) modified to enable incorporation of photoactive units on each repeat unit of the polymer chain. In a second system we explore peptoid polymers that possess identical molecular size and composition with much higher molecular uniformity than possible by conventional synthetic techniques. We report the results of exposure of these materials to EUV exposures and the chemical changes that occur.

    Download PDF (661K)
  • C. Popescu, G. O'Callaghan, A. McClelland, J. Roth, T. Lada, T. Kudo, ...
    2021 Volume 34 Issue 1 Pages 75-80
    Published: June 11, 2021
    Released on J-STAGE: November 23, 2021
    JOURNAL FREE ACCESS

    The development of novel EUV resists is widely agreed to be one of the highest priority challenges for the deployment of high-NA EUV lithography. One potential approach is the multi-trigger concept wherein a reaction will only occur when multiple elements of the resist are initiated concurrently and in close spatial proximity. The multi-trigger material presented consists of a novel MTR molecule and a crosslinker, which represent the resist matrix, together with a photoacid generator (PAG). Research is continuing to upgrade this resist, in particular focusing on improving resist opacity and crosslinking density. Here we present results from further work focused on the enhancement of the high-opacity MTR resist. A new high-Z crosslinker molecule, mark III, has been synthesized and formulated in the MTR resist to make the high opacity MTR compatible with the ethyl lactate and PGMEA casting solvents. We report results obtained using the new MTR system containing this high-Z cross-linker mark III, with a variation of process conditions and formulation variations. The lithographic performance of a formulation containing this crosslinker, at pitch 32nm patterned on an NXE3350 is presented. Furthermore, we have also investigated increasing the activation energy of the self-quenching aspect of the MTR system. In the case presented, MTR8 has a higher activation energy than MTR4. Having a higher activation energy is predicted to allow the introduction of PEB to increase crosslinking and reduce pattern collapse, whilst simultaneously preserving the self-quenching behaviour. We present results which show a decrease in dose and Z-factor using MTR8 at this formulation ratio compared to MTR4.

    Download PDF (1417K)
  • Michael Murphy, Maximilian Weires, Nitinkumar S. Upadhyay, Philip Schu ...
    2021 Volume 34 Issue 1 Pages 81-86
    Published: June 11, 2021
    Released on J-STAGE: November 23, 2021
    JOURNAL FREE ACCESS

    We present a new class of EUV antimony carboxylate photoresists with enhanced reactivity and contrast, through the substitution of heteroatoms into the carboxylate. The lithographic performance of (C6H5)3Sb(O2CCH2X)2 photoresists in which X = methoxy, bromine or iodine is presented. The addition of iodine to the photoresist greatly improves dissolution contrast. Utilizing in-situ mass spectrometry, we show how the identity and degree of volatile photoproducts created during EUV exposure change with the composition of the heteroatom.

    Download PDF (979K)
  • Kohei Fujisawa, Hiroyuki Maekawa, Hiroto Kudo, Kazumasa Okamoto, Takah ...
    2021 Volume 34 Issue 1 Pages 87-93
    Published: June 11, 2021
    Released on J-STAGE: November 23, 2021
    JOURNAL FREE ACCESS

    We examined the synthesis, physical properties, and resist property of the molecular non-chemically amplified resist materials. By the condensation reaction of tri(4-hydroxyphenyl)methane (HPM) and various bisphenols (BisA, BisAF, HPE, and TDP) with 4-bromoethoxyphenyl methyl sulfide (BEPMS), the compounds (HPM-BEPMS, BisA-BEPMS, BisAF-BEPMS, HPE-BEPMS, and TDP-BEPMS) containing sulfide moieties were synthesized. These were reacted with silver trifluoromethanesulfonate and iodomethane, yielding corresponding compounds HPM-BEPMSion, BisA-BEPMSion, BisAF-BEPMSion, HPE-BEPMSion, and TDP-BEPMSion, respectively. They have good physical properties (solubility, film-forming ability, and thermal stability) and high nega-type resist sensitivity in an extreme ultraviolet (EUV) exposure tool, indicating these were good candidate to offer higher resolution resist pattern.

    Download PDF (624K)
  • Yuji Hosaka, Hiroki Yamamoto, Masahiko Ishino, Thanh-Hung Dinh, Masaha ...
    2021 Volume 34 Issue 1 Pages 95-98
    Published: June 11, 2021
    Released on J-STAGE: November 23, 2021
    JOURNAL FREE ACCESS

    Irradiation effects of poly(methyl methacrylate) (PMMA) induced by femtosecond-pulsed extreme ultraviolet (EUV) were investigated using Soft X-ray free electron laser (SXFEL) for realization of next generation extreme ultraviolet free electron laser (EUV-FEL) lithography. The sensitivity of PMMA upon exposure to femtosecond-pulsed SXFEL was much higher than that measured for conventional nanosecond-pulsed EUV source. The sensitivity enhancement upon exposure to femtosecond-pulsed SXFEL is similar to the result obtained using laser-induced-plasma based Soft X-ray laser (SXRL) (picosecond-pulsed EUV). This result speculates the reactions induced by femtosecond-pulsed SXFEL and picosecond-pulsed XRL were almost same, but it was different from those induced by nanosecond-pulsed EUV.

    Download PDF (675K)
  • Roberto Fallica, Stefano Nannarone, Nicola Mahne, Andrea Marco Malvezz ...
    2021 Volume 34 Issue 1 Pages 99-103
    Published: June 11, 2021
    Released on J-STAGE: November 23, 2021
    JOURNAL FREE ACCESS

    Low energy electronic processes are key to chemical reactions during exposure of photoresists in extreme ultraviolet lithography. To understand and optimize the functionality of photoresists, it is of paramount important to assess the magnitude of the electron distribution inside the material. While photoemission spectroscopy is being widely used, vacuum barrier crossing and nonideal photoemissivity alter the measured spectra in a way that prevents meaningful assessment of very low energy electrons. In this work we propose a model to account for the physics of photoemission effects and to reliably estimate the distribution from solid state matter. The model also provides a quantitative value for the occupation function and density of state. We tested the model on the photoemission spectra acquired on a prototype EUV photoresist and report here the results.

    Download PDF (1100K)
  • Yosuke Ohta, Atsushi Sekiguchi, Tetsuo Harada, Takeo Watanabe
    2021 Volume 34 Issue 1 Pages 105-110
    Published: June 11, 2021
    Released on J-STAGE: November 23, 2021
    JOURNAL FREE ACCESS

    Up to now, we have been researching methods for measuring the simulation parameters of EUV resist. These parameters include the development parameter, the Dill C parameter, the diffusion length of acid generated from PAG, and the deprotection reaction parameter. By using these parameters, we have attempted to simulate EUV resist. As a result, we could investigate the conditions for reducing LER and for enhancing resolution. We hereby report on the methods of calculating the refractive index n and k values of photoresist with EUV light (13.5 nm), which has been difficult to measure until now, and the Dill B parameter, which is an absorption parameter. The three types of photoresists we investigated are the main chain scission type resist, chemically amplified resist, and metal resist.

    Download PDF (1616K)
  • Shinji Yamakawa, Ako Yamamoto, Seiji Yasui, Takeo Watanabe, Tetsuo Har ...
    2021 Volume 34 Issue 1 Pages 111-115
    Published: June 11, 2021
    Released on J-STAGE: November 23, 2021
    JOURNAL FREE ACCESS

    In extreme ultraviolet (EUV) lithography development, the reduction of line width roughness (LWR) is a one of the significant issues. It has been reported that the LWR of photoacid generator (PAG) bounded resist is lower than that of PAG blended resist. It is considered that the chemical composition distribution of PAG bounded resist is more uniform than PAG blended resist. However, it has not been evaluated systematically and experimentally. In this study, we introduced the contact angle measurement method for the evaluation of the chemical composition distribution between PAG blended resist and PAG bounded resist. It is clarified that the resist thin film has a different chemical composition distribution from the center to the outside of wafer regardless of the type of resists. In particular, the chemical composition distribution of the bounded resist showed the opposite behavior to that the blended one.

    Download PDF (686K)
  • Michael Murphy, Nitinkumar S. Upadhyay, Munsaf Ali, James Passarelli, ...
    2021 Volume 34 Issue 1 Pages 117-121
    Published: June 11, 2021
    Released on J-STAGE: November 23, 2021
    JOURNAL FREE ACCESS

    Many antimony-carboxylate complexes containing polymerizable olefins are highly sensitive EUV photoresists. Herein we report two approaches by which we explored the reactivity of polymerizable olefin antimony carboxylate photoresists to improve lithographic performance. First, we explored the effect of replacing three phenyl groups with methyl groups in an effort to increase the relative concentration of olefins vs. size of the molecule. Second, we explored the effect of increasing the number of polymerizable olefins from two to five. This approach examines the use of tris(4-vinylphenyl)antimony-dicarboxylate complexes as photoresists and the developer chemistry capable of patterning highly crosslinked substrates.

    Download PDF (1375K)
feedback
Top