Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
Volume 21, Issue 5
Displaying 1-14 of 14 articles from this issue
  • Naphtali A. O'Connor, Vladimir Liberman, Xuegong Lei, Juan Lópe ...
    2008 Volume 21 Issue 5 Pages 607-611
    Published: June 24, 2008
    Released on J-STAGE: September 01, 2008
    JOURNAL FREE ACCESS
    The search for successful generation 3 immersion lithography fluids is focused on high refractive index fluids which are transparent to 193 nm light. This search has led to saturated hydrocarbons which have been shown potential in this field. This paper discusses our observations that many immersion fluid candidates (saturated hydrocarbons and acetonitrile) were observed to polymerize upon irradiation with 193 nm light.
    Download PDF (435K)
  • Harry Sewell, Paul Graeupner, Diane McCafferty, Louis Markoya, Nandasi ...
    2008 Volume 21 Issue 5 Pages 613-620
    Published: June 24, 2008
    Released on J-STAGE: September 01, 2008
    JOURNAL FREE ACCESS
    High-n immersion lithography has been under development for the past three years, the target being to extend Optical Lithography to the 32nm node and beyond. Feasibility studies have been generating results on all aspects of the technology. This paper reports results obtained in key areas: High-n Immersion Fluid performance and lifetime; High-n Final Lens material development; Defect generation and control.
    High-n Immersion Fluids have been developed by companies such as DuPont and JSR. The fluids typically have a refractive index of 1.65 and will support a system numerical aperture of >1.55. These new fluids have been engineered to a point where they are now more transparent than water at the exposing wavelength of 193nm, but challenges remain. Test results are reported from the UV The studies indicate that the UV irradiation of the fluid at high doses causes a build up of carbonaceous contamination on the final lens element surface. Cleaning procedures have been developed and calculations of the expected cleaning schedule made.,br>A key requirement for this technology is the availability of high refractive index final lens element material. Final lens element material must have a refractive index >1.70 to support optics design with >1.55NA; it must also have sufficient optical transparency. The availability of viable high-n immersion fluid means that the supply of optical grade high-n material for the final lens element fabrication is the potential technology show stopper. High-n materials (>1.9) have been identified. Initial technical progress with materials such as LuAG and Spinel has been encouraging; the focus has been on obtaining starting materials that are pure enough to ensure a final optical material with a transparency that is high enough at 193nm wavelength. There is, though, a risk that availability of optical grade material will miss the window for the technology.
    Defect generation is another major concern with this Immersion Lithography. We have studied the effect on defect generation of using the high-n immersion fluid instead of water. There are defect generating mechanisms specific to the use of high-n immersion fluid. High-n immersion fluid is much more difficult to purify than water, and the purification process can generate particles which must be removed.
    The new high-n immersion lithography can be assessed with regard to the Semiconductor Industry roadmap. The relatively slow development of high-n optical material implies that the potential for the technology now lies in the context of Double Pattern Processing for application to sub-32nm nodes.
    Download PDF (438K)
  • Paul A. Zimmerman, Bryan Rice, Robert Rodriguez, Micahel F. Zettel, Ma ...
    2008 Volume 21 Issue 5 Pages 621-629
    Published: June 24, 2008
    Released on J-STAGE: September 01, 2008
    JOURNAL FREE ACCESS
    High-index immersion lithography beyond water can be an enabler for the 32nm node. For several years researchers have tried to find or develop higher index lens element, immersion fluid and resist. A high index lens material has been developed that is suitable for implementation as the final lens element. The development of high index immersion fluid and resist remains, and this will require breakthroughs in materials development. An intermediate index fluid has been developed but will only enable 1.55NA immersion lithography. To achieve 1.70NA a fluid with a refractive index approaching 1.80 at 193nm will be required. Additionally a compatible resist with an index of about 1.9 will be required. The development of these materials with extreme refractive indices is particularly challenging due to the limitations of variables that can affect the index without compromising other required properties. This paper reviews the successes and failures in the search for these higher index fluids and resist materials, and the most likely path to success using high index nanoparticles.
    Download PDF (633K)
  • Hoang V. Tran, Eric Hendrickx, Roger H. French, Douglas J. Adelman, Ny ...
    2008 Volume 21 Issue 5 Pages 631-639
    Published: June 24, 2008
    Released on J-STAGE: September 01, 2008
    JOURNAL FREE ACCESS
    We report on recent studies on two of our leading fluid candidates for high index immersion lithography, designated IF132 and IF169. The most recent fluid radiation durability results are discussed, leading us to define two important parameters, the fluid lifetime factor and the clean window dose related to suppression of window contamination through use of active recycle technology. Results of fluid-resist interactions, with water and high index fluids on four commercial resists, will be shown. These experiments include PAG leaching and contact angle measurements, resist profile and thickness changes due to pre- and post-exposure fluid contact, residues and defects from the fluid and from resist contact, and 36 nm hp imaging on an immersion interference printer.
    Download PDF (531K)
  • Yuki Kushida, Yutaka Makita, Takanori Kawakami, Kenji Hoshiko, Hiroki ...
    2008 Volume 21 Issue 5 Pages 641-646
    Published: June 24, 2008
    Released on J-STAGE: September 01, 2008
    JOURNAL FREE ACCESS
    The behavior of developed ArF deprotected polymer to TMAH developer was demonstrated in this study to understand the effect of deprotected polymer's solubility and dispersion on development defect generation. The aggregation of deprotected polymer was observed with the dynamic light scattering (DLS) measurements. The observed aggregates were classified cluster-1 and cluster-2 based on the size, of which hydrodynamic radii (RH) were c.a. 100nm and more than 500nm, respectively. In addition, the size and existing ratio of the aggregates were amplified by being exposed PAG and diluted in the developer. Furthermore, structure of protecting group and monomer composition showed large impact on the size of the cluster. It was found that DLS analysis could explain a main root cause of development defect generation, which possibly is the cluster formation. According to this study, ArF polymer design was suggested with development defect free as new concept for future ArF resist technology.
    Download PDF (663K)
  • Takuya Hagiwara, Takeo Ishibashi, Mamoru Terai, Teruhiko Kumada, Naoko ...
    2008 Volume 21 Issue 5 Pages 647-654
    Published: June 24, 2008
    Released on J-STAGE: September 01, 2008
    JOURNAL FREE ACCESS
    Non-topcoat (non-TC) resists, which blend hydrophobic additives into a resist polymer, have been proposed by vendors. To minimize the surface free energy, a hydrophobic additive segregates to the surface and forms a layer. The improvement of surface hydrophobicity and the suppression of resist component leaching are achieved by using this segregation layer. Segregation is an unstable physical phenomenon that is influenced by the environment. Hence, the characteristics of segregation layers must be sufficiently understood to use non-TC resists safely and have been thoroughly investigated using various analyses in this study. The results revealed the following: (1) A segregation layer comprising only the hydrophobic additive could form if the additive had low critical surface tension. However, the segregation layer differed from the coating film and exhibited a film density comparable to that of the base polymer. (2) The segregation mostly occurred during spin coating. (3) A non-TC resist should have the optimum film thickness: a thin film exhibited low hydrophobicity, whereas the additive remained in the resist bulk for a thick film. (4) There were no differences in the segregation layer in the central and outer parts of the wafer. When using a non-TC resist, it is necessary to understand the characteristics and note the usage. If it is used with sufficient understanding, it is sure that a non-TC resist can reduce the cost and increase the throughput safely.
    Download PDF (571K)
  • David J. Abdallah, Eric Alemy, Srinivasan Chakrapani, Murirathna Padma ...
    2008 Volume 21 Issue 5 Pages 655-663
    Published: June 24, 2008
    Released on J-STAGE: September 01, 2008
    JOURNAL FREE ACCESS
    In this study we explore a novel resist freeze process for dual imaging with broad resist and lithography track compatibility. The Vapor Reaction Chamber (VRC) hardware is similar to a HMDS prime chamber. Freeze liquids are selected based on their ability to freeze a positive resist image, and among the materials tested, low boiling point diamines were found to be the most effective. Temperature, freeze material, gas flow rate and time are varied to adjust the process and investigate the impact on dual image profiles. Rapid freezing of the 1st photoresist image is achieved when the VRC temperature is near the Tg of the photoresist polymer, and process times are compatible with track wafer flows. The process has been used to create dual imaging features with dry lithography to achieve 45 nm dense L/S patterns.
    Download PDF (1057K)
  • Mamoru Terai, Takeo Ishibashi, Takuya Hagiwara, Tetsuro Hanna, Teruhik ...
    2008 Volume 21 Issue 5 Pages 665-672
    Published: June 24, 2008
    Released on J-STAGE: September 01, 2008
    JOURNAL FREE ACCESS
    In this study, we focus on the controllability of a wafer bevel from adhesion and hydrophobicity viewpoints in order to solve the problems of film peeling and microdroplet formation around wafer bevels, which can result in pattern defects and degrading of the overlay and focus accuracy because of local temperature variation around several sensors due to the vaporization heat of the leaked water. Hexamethyldisilazane (HMDS) treatment is a common solution to these problems. We examine a novel wafer bevel treatment utilizing silane coupling agents (SCa) for obtaining high hydrophobicity and adhesion. These groups react with inorganic substrates and films on the surface that are subjected to a novel chemical treatment (NCT). The coating performance of chemicals on the surface by the NCT and the aging stability of the formulated solution of the SCas are examined for optimizing the composition of the NCT solution. We revealed that the NCT agent, which is comprised of long-chain alkyl type SCa and additive (i.e. acid and salt), has good performance. Furthermore, we verified the superiority of using NCT in the water meniscus stability with high scanning speed (i.e. 500 mm/sec) and water leakage in wafers with a topcoat, ArF resist, and bottom antireflective coating (BARC) using a quasi-immersion exposure stage.
    Download PDF (410K)
  • Tsuneo Yamashita, Yousuke Kishikawa, Yoshito Tanaka, Masamichi Morita, ...
    2008 Volume 21 Issue 5 Pages 673-677
    Published: June 24, 2008
    Released on J-STAGE: September 01, 2008
    JOURNAL FREE ACCESS
    Immersion lithography is being actively developed toward mass production for 55nm node devices and beyond. Advances are being made toward large depths of focus and higher resolution, but the underlying problem of machine and material cost increases remains. Our work over the past few years has shown that the main-chain fluorinated base resins realized by the co-polymerization of tetrafluoroethylene (TFE) and norbornene derivatives offer high dissolution rates and moderate surface properties. However, it is difficult to synthesis these materials and their high cost is disadvantageous. Recently, we switched our attention to α-fluoroacrylate and have synthesized various monomers and polymers for immersion lithography. α-fluoroacrylate has a polymerization rate faster than acrylate and methacrylate, and its polymers are superior to theirs. In this paper, we will report these synthesis methods and immersion specific properties such as the dissolution rate in standard alkaline solution and water contact angle. Furthermore, we consider with relationship between dissolution rate and polymer structure by infrared method.
    Download PDF (388K)
  • Atsushi Otake, Masashi Miura, Kousuke Tsuchiya, Kenji Ogino
    2008 Volume 21 Issue 5 Pages 679-684
    Published: June 24, 2008
    Released on J-STAGE: September 01, 2008
    JOURNAL FREE ACCESS
    Newly designed topcoat-less resist for immersion lithography is described. Hydrophobic resist surface layer was constructed successfully in order to solve problems regarding immersion resist and improve lithographic performance. Fluorinated diblock copolymer synthesized via atom transfer radical polymerization (ATRP) showed self-assembly surface segregation in resist films. In spite of low fluorine composition, hydrophobicity of the resist surface was remarkably enhanced. Newly designed topcoat-less resist is expected to be utilized in immersion lithography.
    Download PDF (395K)
  • Shinji Tarutani, Hideaki Tsubaki, Shinichi Kanna
    2008 Volume 21 Issue 5 Pages 685-690
    Published: June 24, 2008
    Released on J-STAGE: September 01, 2008
    JOURNAL FREE ACCESS
    A new negative tone imaging with application of new developer to conventional ArF immersion resist materials is proposed for narrow trench pattern formation, which is effective to the double trench process that is one of the candidates of double patterning process for 32 nm node semiconductor devices. No swelling property was realized in the developing step, in which the dissolution mechanism was discussed. Significantly better line width roughness (LWR) and resolution on narrow trench pattern were observed with this negative tone development compared to positive tone development. These results suggest that this negative tone development process is one of the promising candidates for double trench process.
    Download PDF (659K)
  • Yusuke Anno, Tomohiro Kakizawa, Masafumi Hori, Akimasa Soyano, Koichi ...
    2008 Volume 21 Issue 5 Pages 691-696
    Published: June 24, 2008
    Released on J-STAGE: September 01, 2008
    JOURNAL FREE ACCESS
    Double patterning is one of the most promising candidates for sub-40nm half-pitch devices. Several variation of double patterning processes have been reported by research groups, including a dual-trench process (litho-etch-litho-etch) and a dual-line process (litho-litho-etch). Between these, the dual-line process is attracting the most attention because it is a simple process that achieves high throughput. However, there is concern that the second lithographic process damages the first litho patterns in the dual-line process. Therefore, new technology must be developed to keep the configuration of first litho patterns during the second lithographic step for this patterning process to be practical. Recently, we have succeeded in forming sub-40nm half-pitch litho patterns by the introduction of a new "freezing" step to this process. This step involves covering the first litho pattern with chemical freezing materials to prevent damage by the second litho pattern creating a dual-line process composed of litho-"freezing"-litho-etch processes. In this study, the performance of dual-line process including a "freezing" step are explained and sub-40nm half-pitch litho patterns including depth of focus, exposure latitude, CD uniformity and etching results by this process are shown.
    Download PDF (671K)
  • Masanori Yamaguchi, Thomas Wallow, Yohei Yamada, Ryoung-Han Kim, Jongw ...
    2008 Volume 21 Issue 5 Pages 697-704
    Published: June 24, 2008
    Released on J-STAGE: September 01, 2008
    JOURNAL FREE ACCESS
    Numerous alternate processes are under industry wide evaluation as simplifications to current double patterning methods. Reduction in process complexity and cost may be achieved by use of track-based photoresist stabilization methods that eliminate one etch step by allowing a second resist to be patterned over a first resist pattern. Here, we describe studies of 172nm flood UV exposure as one example of a resist stabilization method. When properly implemented, we observe that 172nm stabilization allows superior retention of photoresist profiles vs. longer wavelength UV treatment. For the commercial 193nm photoresist studied, judicious choice of 172nm dose and subsequent bake is required for pattern stabilization to second resist processing. FT-IR studies indicate that distinct chemical processes occur during 172nm flood exposure and subsequent bake: 172nm flood exposure appears to cause selective decarboxylation of lactones present in the photoresist, while baking leads to photoacid-mediated loss of blocking groups and other processes that are not conclusively characterized at present. At 800 mJ/cm2 172nm dose, resist patterns are sufficiently stabilized to prevent reflow in the subsequent bake. Approximately 25% volumetric shrinkage accompanies 172nm stabilization. This shrinkage is manifested as controllable CD trimming and thickness loss as well as 3-dimensional resist pattern distortion including line-end tilting and corner bowing. At insufficient 172nm cure doses, photoresist reflow occurs during the subsequent stabilizing bake. 3-Dimensional resist pattern distortions are dramatically larger under these conditions. These findings indicate that shrinkage control during any stabilizing process is a critical factor in resist design for simplified double patterning methods.
    Download PDF (956K)
  • Satoshi Hirata, Takanori Ichiki
    2008 Volume 21 Issue 5 Pages 705-710
    Published: June 24, 2008
    Released on J-STAGE: September 01, 2008
    JOURNAL FREE ACCESS
    Poly(dimethylsiloxane) (PDMS) has been widely used as a fabrication material of biomicrosystem devices which are called as lab-on-a-chip or μ-TAS. Generally, the devices when used in biological applications require some surface modification for the control of hydrophilicity and nonspecific adsorption and selective attachment of functionalized biomolecules on their surfaces. In this paper, we report the introduction of amino gourps on PDMS surfaces using low-pressure inductively coupled plasmas. Nitrogen/hydrogen (N2+H2) mixed plasma and pure N2 plasma system were examined. In the case of pure N2 plasmas, surface atomic concentration of N reached approximately 10 atom%, and formation of primary amino groups was confirmed by XPS and ATR-FTIR measurement. Furthermore, attachment of biotinylated-PEG was demonstrated via active-ester method.
    Download PDF (422K)
feedback
Top