-
Anne-Marie Goethals, Philippe Foubert, Kohei Hosokawa, Frieda Van Roey ...
2012Volume 25Issue 5 Pages
559-567
Published: June 26, 2012
Released on J-STAGE: September 22, 2012
JOURNAL
FREE ACCESS
Extreme Ultraviolet (EUV) Lithography is a candidate for device manufacturing at the 22nm half pitch node and beyond. The key challenge for EUV resists remains to simultaneously meet the requirements for Sensitivity, Resolution and Line-edge-roughness (LER) for Line/Space features (LS), respectively local CD uniformity (LCDU) for Contact holes (CH). The introduction of the ASML NXE:3100 pre-production EUV scanner at Imec, with off-axis illumination provides resolution capability well below 22nm. In this paper we make a assessment of the EUV resist performance for 22nm LS and 28-26nm contacts on the NXE:3100. At 22nm feature sizes, pattern collapse and LER become the main resolution and process windows limiters. The application of FIRM
TM Extreme 10 rinse was found to be effective to improve the collapse margin and reduce LER on several resists. Using dipole illumination setting, we achieved 22nm LS at 13.5mJ/cm
2 with 3.1nm (3σ) LER with wide processing latitudes. Several resists resolved down to 20nm LS. Champion resolution of 19nm LS was obtained in one resist at 20mJ/cm
2. Using quasar illumination, 28nm HP contact holes were obtained with LCDU value of 1.0nm (1σ) at <20mJ/cm
2, showing wide process latitudes. Printing 26nm HP contacts is feasible but requires further improvement in LCDU and contact shape circularity.
View full abstract
-
Takeo Watanabe, Yuichi Haruyama, Daiju Shiono, Kazuya Emura, Takuro Ur ...
2012Volume 25Issue 5 Pages
569-573
Published: June 26, 2012
Released on J-STAGE: September 22, 2012
JOURNAL
FREE ACCESS
It had been reported that tri-phenysulfonium cyclo(1,3-perfluoropropanedisulfone) imidate (TPS-IMIDATE) as a photo acid generator has approximately four times faster sensitive rather than tri-phenysulfonium perfluorobutanesulfonate (TPS-PFBS) under the EUV exposure. In this paper, we discussed the differences of the sensitivity and the chemical reaction between TPS-IMIDATE and TPS-PFBS, on the basis of the EUV-induced analysis of the SR absorption spectroscopy. From the analysis of the SR absorption spectroscopy, F and C atoms in the anion of TPS-IMIDATE decomposed rather than that of TPS-PFBS. The sensitivity advantage of TPS-IMIDATE might own to the anion decomposition reaction. The anion decomposition reaction might be originated to the photo-excitation of the photoacid generator. The anion of TPS-IMIDATE decomposed to smaller molecule and the sensitivity becomes higher.
View full abstract
-
Kenji Hosoi, Brian Cardineau, Seth Kruger, Koichi Miyauchi, Robert Bra ...
2012Volume 25Issue 5 Pages
575-581
Published: June 26, 2012
Released on J-STAGE: September 22, 2012
JOURNAL
FREE ACCESS
This paper presents two new concepts that together provide a 100,000X improvement in stability for AAs that produce highly-fluorinated, strong sulfonic acids. These two new design concepts are based on (1) an olefin-trigger structure and (2) a trifluoromethyl group alpha to the sulfonic ester. These approaches led to the synthesis of the first stable acid amplifier that generates triflic acid and for the synthesis of AAs that are stable enough to be used as monomers in free-radical polymerization reactions yet produce very strong, fluorinated acids. Lastly, we present preliminary results where one new AA is able to improve the LER of a control resist from 4.6 ± 0.5 nm to 2.1 ± 0.1 nm.
View full abstract
-
Markos Trikeriotis, Marie Krysaki, Yeon Sook Chung, Christine Ouyang, ...
2012Volume 25Issue 5 Pages
583-586
Published: June 26, 2012
Released on J-STAGE: September 22, 2012
JOURNAL
FREE ACCESS
Performance requirements for EUV resists will demand the development of entirely new resist platforms. A challenge in designing these new HfO
2 and ZrO
2 nanoparticle resists is the selection of molecular structures that will provide both superior performance in imaging and etch resistance while maintaining optimal absorbance at EUV wavelengths. We have previously described the use of inorganic nanoparticle photoresists for 193 nm and e-beam lithography. These inorganic photoresists are made of oxide nanoparticles and have shown etch resistance that is 25 times higher than polymer resists. The high etch resistance of these materials allow the processing of very thin films (< 40 nm) and will help push the resolution limits below 20 nm without pattern collapse. Additionally, the small size of the nanoparticles (< 5 nm) leads to low LER. In this presentation we show that these inorganic resists can be applied to EUV lithography. We have successfully achieved high resolution patterning (<30 nm) with very high sensitivity and low LER.
View full abstract
-
Hiroto Kudo, Nobumitssu Nina, Tomoharu Sato, Hiroaki Oizumi, Toshiro I ...
2012Volume 25Issue 5 Pages
587-592
Published: June 26, 2012
Released on J-STAGE: September 22, 2012
JOURNAL
FREE ACCESS
-
Changil Oh, Jaeheon Kim, Junggun Heo, Junghyung Lee, Cheolkyu Bok, Myo ...
2012Volume 25Issue 5 Pages
593-596
Published: June 26, 2012
Released on J-STAGE: September 22, 2012
JOURNAL
FREE ACCESS
The patterning performance of 3Xnm half pitch contact hole for 2Xnm DRAM was achieved from NXE3100, EUV pre-production tool last year. The local CD uniformity of EUV was comparable to that of immersion DPT (Double Patterning Technology), so the EUV patterning performance of 3Xnm half pitch is ready for mass production. But productivity progress of EUV source power is behind the plan and EUV shot noise is still problematic, so EUV resist process need to be improved for sub 3Xnm half pitch contact hole patterning. And also the patterning of sub 20nm half pitch line and space was gathered from NXE3100 but LWR and collapse are critical issues to be improved. Recently we introduced negative tone development (NTD) in immersion lithography for mass production and achieved excellent patterning performance compared to positive tone development (PTD) because negative tone development (NTD) showed better optical contrast on contact hole patterning and swelling-free property of resist film against developer. In this paper, we describe necessity of negative tone development (NTD) on EUV, optimization of mask cell size, upgrade status of resist and resolution limit between positive (PTD) and negative tone development (NTD).
View full abstract
-
Shinji Tarutani, Hideaki Tsubaki, Hiroo Takizawa, Takahiro Goto
2012Volume 25Issue 5 Pages
597-602
Published: June 26, 2012
Released on J-STAGE: September 22, 2012
JOURNAL
FREE ACCESS
A series of polymer with different hydrophobicity have been synthesized to investigate effects of contact angle on ultimate resolution. Contact angle of these polymers was widely changed by utilizing polymers having a different chemical structure and protection ratio. It is revealed that resolution of 20 nm half-pitch (hp) was limited by pattern collapse and improved by increasing contact angle of polymer under E-beam exposure. It is noteworthy that resolution of 20 nm hp and below for current EUV resists with exposures on a NXE:3100 and a micro-field exposure tool (MET) at SEMATECH Berkeley was also limited by pattern collapse. Low diffusion character of current EUV resists with controlled PAG design, especially polymer bound PAG (PBP), suppressed degradation of resolution by chemical blur. Combination of high contact angle polymer with low diffusivity PAG showed resolution of 16 nm hp using a MET at SEMATECH Berkeley with a LWR of 3.0 nm, sensitivity of 24 mJ/cm
2, and Z-factor of 4 × 10
-9 mJ nm
3. Unfortunately density of blob defect for the hydrophobic polymer was above 100 counts/cm
2. Hydrophobicity of film surface was found to be a main cause of its poor defectivity. Adding the new EUV additive to the hydrophobic resist reduced density of blob defect from 100 counts/cm
2 to below 0.1 counts/cm
2.
View full abstract
-
Ryuji Sugi, Makoto Shimizu, Tooru Kimura
2012Volume 25Issue 5 Pages
603-607
Published: June 26, 2012
Released on J-STAGE: September 22, 2012
JOURNAL
FREE ACCESS
In order to resolve 16 nm half pitch and beyond upon EUV exposure, we have developed new materials for not only resists but also for under layer materials. As for resist, short acid diffusion length photo-acid generator (PAG) was developed for high resolution. As for under layer, new material with high contact angle (CA) improved line collapse margin towards printing of minimum feature size. It was found that CA of under layer was one of the important factors for resolution improvement. Furthermore, effect of development time was investigated to improve resolution. Short development time gained resolution improvement compared with long one. Finally, combination of these results was investigated. As a result, JSR EUV resist showed the potential of 15nm half pitch resolution.
View full abstract
-
Ivan Pollentier, Ragava Lokasani, Roel Gronheid
2012Volume 25Issue 5 Pages
609-616
Published: June 26, 2012
Released on J-STAGE: September 22, 2012
JOURNAL
FREE ACCESS
EUV photoresists are considered as a potential source of optics contamination, since they introduce irradiation induced outgassing in the EUV vacuum environment. Therefore before they can be used on the ASML NXE:3100 EUV scanner, the resists need to be tested in dedicated equipment and according to ASML NXE outgas specifications. In line with these guidelines, Imec has been working on the infrastructure set-up to enable the resist outgassing qualification. This infrastructure is based on outgas tool tester which previously has been used for qualifying resists for the ASML ADT, and which has capabilities to do witness sample testing and RGA. In this paper, we first describe the implementation of the ASML NXE outgas specifications towards the Imec tool infrastructure, and the results obtained on contamination growth of resist related outgassing. In addition the procedure was used to investigate how the change process conditions can impact the contamination qualification result. Finally, preliminary tests were done to compare the qualification results when the photoresist irradiation was changed from EUV exposure to E-gun exposure, which was considered as a more cost-effective solution to use.
View full abstract
-
Norihiko Sugie, Toshiya Takahashi, Kazuhiro Katayama, Isamu Takagi, Yu ...
2012Volume 25Issue 5 Pages
617-624
Published: June 26, 2012
Released on J-STAGE: September 22, 2012
JOURNAL
FREE ACCESS
For high volume manufacturing (HVM) using extreme ultraviolet (EUV) lithography, a practical resist outgassing qualification system is required. Witness sample (WS) testing systems using electron beam (EB) or low power EUV have been proposed as candidate methods, however some issues remain on how these alternative light sources, in comparison to future high power EUV in exposure tools for HVM, will affect actual resist chemical reactions and ultimately resist outgassing. In this paper, we have investigated outgassing from resist induced optics contamination by utilizing two types of WS test systems of high power EUV and EB. A correlation between these light sources is discussed, especially focusing on the resulting chemical phenomena depending on resist material properties.
View full abstract
-
Takahiro Kozawa
2012Volume 25Issue 5 Pages
625-631
Published: June 26, 2012
Released on J-STAGE: September 22, 2012
JOURNAL
FREE ACCESS
The development of resist materials used for extreme ultraviolet (EUV) lithography has steadily progressed since 2005. Their resolution and sensitivity are now close to those required for the high-volume production of semiconductor devices. However, the line edge roughness (LER) must still be reduced to achieve the required value. For the reduction of LER, increasing the absorption coefficient is an important strategy. In this study, the relationship between the absorption coefficient and LER was investigated on the basis of the sensitization mechanisms of chemically amplified resists. The relationship was found to depend on the acid generator concentration, half-pitch, and sensitivity. Assuming a required sensitivity of 10 mJ cm
-2, absorption enhancement is effective for reducing LER, particularly at the 11 nm node.
View full abstract
-
Brian Cardineau, William Early, Tomohisa Fujisawa, Ken Maruyama, Makat ...
2012Volume 25Issue 5 Pages
633-640
Published: June 26, 2012
Released on J-STAGE: September 22, 2012
JOURNAL
FREE ACCESS
This paper describes fundamental studies of the degradation of LER in EUV resists as a function of film thickness. This research focused on the influence of three variables on this LER film thickness problem:
·Substrate interaction (primed silicon vs. organic underlayer)
·Changes in optical density (variations in fluorine content)
·PAG attachment (bound and unbound)
Our experimental approach struck a balance between using resists prepared by commercial resist vendors and using open-source resists with custom-designed polymers to address specific variables listed above. One key feature of this research was our development of a mathematical method for evaluation of the extent of the LER deviation in thin films, called ψ
LER. Our results showed that the effect of substrate was not significant for two different resists (one commercial and one open source). Additionally, we found that increasing optical density actually made the LER degradation (ψ
LER) worse-which was contrary to what was predicted by other researchers. Most significant was our demonstration that PAG attachment plays the most important role in the degradation of LER in thinner resist films; polymer-bound PAGs showed a dramatic 3X improvement in ψ
LER over a similar blended system.
View full abstract
-
James W. Thackeray, James F. Cameron, Michael Wagner, Suzanne Coley, V ...
2012Volume 25Issue 5 Pages
641-646
Published: June 26, 2012
Released on J-STAGE: September 22, 2012
JOURNAL
FREE ACCESS
This paper reports on our development of low diffusion EUV resists based on polymer-bound PAG (PBP) technology. With our low diffusion resist, a wide process window for 30-nm hp of 280nm DOF over a 10% exposure range is achieved on the CNSE based Alpha Demo Tool (ADT) fullfield scanner. Line width roughness of 3.1nm is also achieved. Excellent resist profiles can be achieved on organic ULs or Si hardmask materials. This resist also shows only 1.1 nm carbon growth on witness plate mirrors for cleanables, and no reflectivity loss after mirror cleaning, making it a promising candidate for use on all NXE tools. We also have shown good pattern transfer for a Si HM stack using this resist. Finally, we report 17-nm hp resolution at a dose of 14.5mJ for a higher absorption resist.
View full abstract
-
Huirong Yao, Salem Mullen, Zachary Bogusz, Joon Yeon Cho, Murirathna P ...
2012Volume 25Issue 5 Pages
647-653
Published: June 26, 2012
Released on J-STAGE: September 22, 2012
JOURNAL
FREE ACCESS
EUV lithography continues to be developed as a technology for sub-30nm and especially sub-20nm pattern imaging in the semiconductor industry. To achieve the desired photoresist resolution, line width roughness and sensitivity (RLS) performance for such fine feature patterns, multilayer materials are almost certainly needed to define the overall lithography process. EUV underlayer (EBL) materials with high EUV photon absorption (EPA) unit can improve resist performance in areas such as sensitivity, imaging capability, dissolution contrast, resolution and process window. In this paper, we report more detailed studies on our new generation of EBL materials, showing enhanced integrated EUV performance including reduction of LWR. One advanced EBL material tested has incorporated metal components, and shows sensitivity improvement as well as high etch selectivity, and can be used as hard mask for next generation pattern imaging.
View full abstract
-
Mihoko Li, Yoshikazu Tanaka, Kazushi Kimbara
2012Volume 25Issue 5 Pages
655-658
Published: June 26, 2012
Released on J-STAGE: September 22, 2012
JOURNAL
FREE ACCESS
-
Mir Wagas Alam, Shokui Wang, Shigeki Naka, Hiroyuki Okada
2012Volume 25Issue 5 Pages
659-664
Published: June 26, 2012
Released on J-STAGE: September 22, 2012
JOURNAL
FREE ACCESS
We fabricated a Top contact Pentacene based Organic thin-film transistor (OTFTs) with bi-layer TiO
2/Au electrodes. The performance of the devices after inserting TiO
2 layer between organic layer and Au electrode is highly improved. On comparing with pentacene based transistor with only Au electrode, the hole injection was largely enhanced and the highest field-effect mobility is increased from 0.37 cm
2/ (Vs) to 0.63 cm
2/ (Vs) in the device with bilayer TiO
2/Au electrodes. We also measured the temperature dependence characteristics and surface morphology of both the devices. Both the devices showed strong temperature dependence. We observed that the barrier height is tremendously decreased after inserting a thin layer of TiO
2 between the organic layer and Au electrodes. The improved device performance was due to the decreased barrier height and decrease in the surface roughness of pentacene after inserting a suitable metal oxide layer between the pentacene and the Au electrodes. Our experimental results clearly show that the insertion of metal oxide between Au electrode and pentacene layer is an effective way to improve the performance of the pentacene based organic thin-film transistor (OTFTs).
View full abstract
-
Takahiro Fukumatsu, Akinori Saeki, Shu Seki
2012Volume 25Issue 5 Pages
665-668
Published: June 26, 2012
Released on J-STAGE: September 22, 2012
JOURNAL
FREE ACCESS
Optoelectronic and optical properties of poly(3-alkylthiophene) (P3HT) diluted in polystyrene (PS) matrices were investigated by flash-photolysis time-resolved microwave conductivity (FP-TRMC) and steady-state photoabsorption spectroscopy, respectively. We examined intra- and inter-molecular charge carrier mobilities in the blend and pristine P3HT films and found the contribution of inter-molecular one is half at the maximum in the pristine film. This in turn gave information about the minimum one-dimensional intra-molecular mobility of 0.18 cm
2.
View full abstract
-
Emi Nishioka, Nobuhiro Kawasaki, Akira Emoto, Hiroshi Ono, Mizuho Kond ...
2012Volume 25Issue 5 Pages
669-673
Published: June 26, 2012
Released on J-STAGE: September 22, 2012
JOURNAL
FREE ACCESS
Symmetric and asymmetric holographies with various polarization conditions were conducted to investigate a formation of blazed surface relief (SR) structures in a polymethacrylate film comprised of 4-methoxyazobenzene side groups using polarized 325 nm He-Cd laser beams with controlled polarizations. Because molecular migration to form the SR structures in the film was caused by both intensity and polarization distributions of the holographic beams, asymmetric molecular migration occurred when the difference in the phase modulation of the intensity and polarization distributions existed. Adjusting the polarization conditions, and the crossing angle and azimuths of the polarization direction of the main axis of the two beams controlled the blazed structure and the SR height.
View full abstract
-
Takashi Ubukata, Shintaro Yamamoto, Yusuke Moriya, Shohei Fujii, Yasus ...
2012Volume 25Issue 5 Pages
675-678
Published: June 26, 2012
Released on J-STAGE: September 22, 2012
JOURNAL
FREE ACCESS
-
Akira Watanabe, Chung-Wei Cheng, Wei-Chin Shen, Chin-I Chu
2012Volume 25Issue 5 Pages
679-680
Published: June 26, 2012
Released on J-STAGE: September 22, 2012
JOURNAL
FREE ACCESS
-
Takeo Sasaki, Masashi Ikegami, Yumiko Naka
2012Volume 25Issue 5 Pages
681-684
Published: June 26, 2012
Released on J-STAGE: September 22, 2012
JOURNAL
FREE ACCESS
-
Atsushi Asano, Yuta Maeyoshi, Katsuyoshi Takano, Masaaki Omichi, Masak ...
2012Volume 25Issue 5 Pages
685-688
Published: June 26, 2012
Released on J-STAGE: September 22, 2012
JOURNAL
FREE ACCESS
-
Suk-Koo Hong, Hyung-Rae Lee, Siyoung Lee, Jaeho Kim, Dongjun Lee, Kyun ...
2012Volume 25Issue 5 Pages
689-691
Published: June 26, 2012
Released on J-STAGE: September 22, 2012
JOURNAL
FREE ACCESS
-
Hiroko Yamamoto, Takahiro Kozawa, Seiichi Tagawa
2012Volume 25Issue 5 Pages
693-698
Published: June 26, 2012
Released on J-STAGE: September 22, 2012
JOURNAL
FREE ACCESS
In the fabrication beyond 16 nm node, the uniform distribution of acid generators in resist matrix is a serious concern. Recently, the incorporation of acid generators to polymers via covalent bonds has attracted much attention in order to overcome the compatibility problem of acid generators with polymers and reduce the diffusion lengths of acid, which leads to high resolution and low line edge roughness (LER). However, understanding of the resist dissolution kinetics in polymer-bounded and polymer-blended photo-acid generator (PAG) for extreme ultraviolet (EUV) lithography is insufficient. Therefore, it is significantly important to clarify the effect of binding acid generator with polymer on the dissolution kinetics. In this study, dissolution kinetics in polymer-bound and polymer-blended PAG was investigated to understand inherent EUV resist characteristics. The development rate R(E,Z) at different depth position in resist film was determined in polymer-bounded and polymer-blended PAG using resist development analyzer (RDA-800EUV) measurement system. The difference of R(E,Z) in polymer-bounded and polymer-blended PAG was observed. Also, the preliminary evaluation of resist profile for EUV chemically amplified resists was performed by using PROLITH and parameters obtained with EUV exposure tool. Furthermore, the resist patterns were produced by electron beam and the SEM observation results were compared with the PROLITH simulation results.
View full abstract