Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
Volume 11, Issue 3
Displaying 1-25 of 25 articles from this issue
  • Masataka Hirose
    1998 Volume 11 Issue 3 Pages 373-378
    Published: 1998
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    The emerging multimedia era will need more advanced, ultra large scale integrated systems as open platforms for wide variety of information technology. Progress in design and manufacturing technology of ultra large scale integrated circuits (ULSI) now makes it possible to realize giga-scale integration of transistors on a single silicon chip, and even sub-terascale integrated systems are in the scope of advanced research. The information technology has dramatically changed the infrastructure of our society supported by computer and telecommunication systems, and simultaneously the network society starts to face a kind of language barrier inherently existing in the communication system such as the internet. In order to solve this problem a new paradigm of advanced research for computer architecture as well as the related integrated systems and devices is thought to be necessary. Particularly in device research, not only continuous downscaling of transistor dimensions for enhancing the integration level of ULSI but also extensive development of silicon-based quantum nanodevices and their implementation to integrated circuits will be needed for the advancement of language-barrier-free information network in future.
    Download PDF (400K)
  • Hiroshi Ito
    1998 Volume 11 Issue 3 Pages 379-393
    Published: 1998
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    It has been almost thirty years since the first deep UV exposure was carried out and almost twenty five years since the term "deep UV lithography" was coined. Although resist systems classified as "chemical amplification resists" are the workhorse for the 248 nm deep UV lithography, the resist materials have evolved significantly in the realm of deep UV lithography as well as within the boundary of chemical amplification. This paper describes the evolution and progress of the deep UV (248-193nm) positive resist materials that have occurred in the last quarter century.
    Download PDF (970K)
  • Norihito Ohmori, Masayuki Hata, Tyuji Hoshino, Minoru Tsuda
    1998 Volume 11 Issue 3 Pages 395-398
    Published: 1998
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    Download PDF (173K)
  • Yoshio Kawai, Akihiro Otaka, Jiro Nakamura
    1998 Volume 11 Issue 3 Pages 399-404
    Published: 1998
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    Resist technologies for fabricating quarter-micron patterns by KrF lithography have been studied We developed a novel chemically amplified (CA) positive resist. To improve environmental stability, we investigated the effect of using an organic base in CA resist on patterning characteristics. Using these technologies, we can successfully fabricate 0.24-μm gate patterns whose accuracy is less than ±10% in LSI fabrication. We have also developed a new resolution enhancement technique based on the balance in the intensity and the phase between the 0th-order rays and the rays diffracted from a line pattern. It is clarified that an organic base additive improves resolution of CA resist to less than 0.1μm.
    Download PDF (356K)
  • Chan-Woo Lee, Jung-Han Shin, Jong-Hee Kang, Jong-Man Kim, Dong-Keun Ha ...
    1998 Volume 11 Issue 3 Pages 405-408
    Published: 1998
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    Download PDF (226K)
  • Toshiaki Aoai, Kunihiko Kodama, Tsukasa Yamanaka, Mono Yagihara
    1998 Volume 11 Issue 3 Pages 409-418
    Published: 1998
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    The relationship between photoacid generator (PAG) structures, using N-sulfonlyoxy imide compounds, and their resist performance were investigated from the viewpoints of the efficiency of acid generation and the behavior of generated acid diffusion. The acid generation efficiency was monitored by the use of acid sensitive dye. The increase in the absorption coefficient of N-sulfonlyoxy imide at 248nm based on imide parts, rather than sulfonate parts, resulted in the increase of the efficiency, and then giving the higher photo speed on resist. In order to estimate the magnitude of the generated acid diffusion in resist film, the catalytic chain length, that is the turnover value, of the acid catalyzed t-BOC decomposition were determined. The turnover was decreased with the increase of the steric hindrance by altering the substituents of generated sulfonic acid, thereby lowering the photo speed, while improving the resist resolution. From these tendency, the control of the acid generation efficiency and the generated acid diffusion in resist film were influential to
    determine the resist performance. Furthermore, the product analysis on the photolysis of N-sulfonlyoxy imide compound, together with the calculation of the molecular orbital and the estimation of their interaction with poly(p-hydroxystyrene) (PHS), were carried out for a better understanding of the structural dependency. On the basis of the knowledge, the photolysis mechanism of N-sulfonlyoxy imide compound was proposed.
    Download PDF (521K)
  • F. M. Houlihan, J. M. Kometani, A. G. Timko, R. S. Hutton, A. H. Gabor ...
    1998 Volume 11 Issue 3 Pages 419-429
    Published: 1998
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    A novel material, bis(4-t-butylphenyl)iodonium cyclamate, an alkylaminosulfonate salt capable of photogenerating a zwitterion sulfamic acid is shown to have utility in 248 and 193nm single layer chemically amplified resists. Specifically, bis(4-t-butylphenyl)iodonium cyclamate may be employed as a self-leveled photoacid generator (PAG) in resists in which protecting groups with a low activation energy are present. Alternatively, in resins protected with high activation groups, this material serves the role of a low volatility, low diffusion photodecomposable base used in conjunction with a super-acid PAG. In both types of resists, bis(4-t-butylphenyl)iodomum cyclamate reduces resist line slimming and T-topping by respectively reducing acid diffusion and its depletion at the resist surface. The above mentioned advantages of reduced line slimming and post-exposure bake delay (PED) stability are accomplished both in the case of the low and high activation energy resists without the need for an additional amine component. Finally, formulation of the low activation energy resist with the cyclamate PAG suppresses film thickness loss during exposure thus reducing outgassing off volatiles.
    Download PDF (814K)
  • Sanjay Malik, Andrew J. Blakeney, Lawrence Ferreira, Joseph Sizensky, ...
    1998 Volume 11 Issue 3 Pages 431-438
    Published: 1998
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    Lithographic properties of a variety of acetal-derivatized hydroxy styrene based polymers are reported. The structural modifications in the polymers involve varying the size of the pendent acetal moiety. utilizing a novel transacetalization synthetic procedure. The lithographic performance of the resists containing "bulky" acetal-derivatized polymers was found to be superior to those containing non-bulky acetal functionalized polymers. In the cases where the acidolysis products of the bulky acetal-based polymers are non-volatile alcohols, the post-exposure volatilization, film shrinkage and plasma etch resistance were found to be significantly improved.
    Download PDF (525K)
  • Seong-Yun Moon, Jae-Sun Koo, Seung-Hun Oh, Sang-Kyun Lee, Shin-Ee Kang
    1998 Volume 11 Issue 3 Pages 439-443
    Published: 1998
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    We have synthesized a series of thermally cross-linkable photoacid generators. Among them, we used bis(4-(2-(vinyloxy)ethoxy)benzene)-4-methoxybenzene sulfonium triflate and tris(4-(2-(vinyloxy)ethoxy)benzene) sulfonium triflate for two or three component photo-polymers, containing a phenolic binder polymer and a cross-linkable photoacid generator. On irradiation with deep UV, the photopolymer films had sensitivities of ca. 10-20 mJ/cm2. The films, especially composed of poly(p-hydroxystyrene-co-styrene), 2, 2-bis(4-(2-(vinyloxy)ethoxy)phenyl)propane, and a cross-linkable photoacid generator, showed the high resolution of 0.24μm L/S under KrF excimer laser stepper (NA 0.45).
    Download PDF (327K)
  • Takanori Kudo, Kayo Aramaki, Georg Pawlowski
    1998 Volume 11 Issue 3 Pages 445-454
    Published: 1998
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    Recent performance improvements of a negative tone chemically amplified DUV resist consisting of a hydroxystyrene based copolymer, a melamine crosslinker, a photoacid generator (PAG) and certain amine additives are described. The general trends of formulation and process changes on the lithographic performance were investigated using a response surface method. PAG concentration variations and optimization of the prebake conditions allowed for the elimination of microbridging in the subquarter micron region. The pattern profiles were improved by the optimization of the polymer properties and the selection of a specific amine/ammonium hydroxide combination. The effects of certain polymer properties and of individual amine components are discussed in more detail and a correlation with their structures is given based on dissolution rate measurements. The optimized resist material has a resolution potential below 0.15μm (NA=0.55) combined with a large depth of focus (>1.0μm @ 0.15μm iso lines), acceptable iso-dense bias, and small sensitivity towards changes in the post exposure bake conditions.
    Download PDF (840K)
  • Toshiyuki Iwamoto, Seiji Nagahara, Seiichi Tagawa
    1998 Volume 11 Issue 3 Pages 455-458
    Published: 1998
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    Download PDF (230K)
  • Craig Henderson, David Wheeler, Timothy Pollagi, Greg Cardinale, Donna ...
    1998 Volume 11 Issue 3 Pages 459-464
    Published: 1998
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    The use of extreme ultraviolet radiation (13.4nm) for microlithography requires a thin layer imaging resist technology due to the strongly absorbing nature of 13.4nm radiation. In any thin layer imaging technique, the aerial image from the exposure system is transferred into a top imaging layer of photoresist and is used to form an in-situ mask for pattern transfering the image through the remaining resist thickness to the device layer in a separate etch development step. The work presented here takes advantage of a new aminodisilane silylation reagent to make improvements in the thin layer imaging process known as top surface imaging. This silylation process is capable of 100nm resolution using extreme ultraviolet exposure at 13.4 nm and the new aminodisilane reagent.
    Download PDF (510K)
  • Jeffrey Byers, Kyle Patterson, Sungseo Cho, Martin McCallum, C. Grant ...
    1998 Volume 11 Issue 3 Pages 465-474
    Published: 1998
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    This paper reports our work on a series of alicyclic polymer-based photoresist platforms designed for 193nm lithography. The polymers described here were prepared from derivatives of norbornene and appropriate co-monomers by free radical polymerization. A variety of techniques were explored as a means of enhancing the lithographic, optical, dissolution, and mechanical properties of photoresists formulated from these alicyclic polymers. Recent studies designed to improve the lithographic performance of photoresists formulated with these materials are described. The process lattitude, delay stability and the utility of the resist for pattern transfer of lithographic images into polysilicon were also studied.
    Download PDF (1154K)
  • Robert D. Allen, Thomas I. Wallow, Juliann Opitz, Carl Larson, Richard ...
    1998 Volume 11 Issue 3 Pages 475-479
    Published: 1998
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    A primary consideration in the design of 193nm single layer resists involves the choice of the polymer platform on which the resist is to be constructed. Two main routes (acrylics and cyclic olefins) exist for 193nm resist design. The advantages and challenges of each will be illustrated and discussed.
    Download PDF (323K)
  • Jae-Chang Jung, Min-Ho Jung, Ki-Ho Baik
    1998 Volume 11 Issue 3 Pages 481-488
    Published: 1998
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    Recently reported ArF positive photoresists can be classified into three groups: derivative methacrylate copolymers (methacrylate resist), methacrylate copolymers functionalized with pendant alicyclic moieties (alicyclic resist), and cycloolefin-maleic anhydride copolymers (cycloolefin resist). Each system has its own advantages and drawbacks in the viewpoint of lithographic properties.
    Methacrylate resists have been used for the assessment of ArF lens performance. However, it is hard to apply them in real device process because of their low plasma-etch resistance. Previous studies have reported that alicyclic resists possess adequate etch resistance with the help of cyclic carbon units, however these resists show poor adhesion and seldom dissolve in 2.38% TMAH developer. The cycloolefin resists will be good candidate for addressing the trade-off between etch resistance and requisite material properties for lithographic performance because these resists, unlike methacrylate-based resists, contain large quantities of alicyclic structures directly in the polymer backbone and such properties as dissolution and adhesion can be readily controlled by incorporation of -COOH and -OH functional groups into cycloolefin structures.
    We have synthesized poly(2-hydroxyethyl 5-norbornene-2-carboxylate / t-butyl 5-norbornene-2-carboxylate / 5-norbornene-2-carboxylic acid / maleic anhydride; HNC / BNC / NC / MA) resists with a variety of functional groups and obtained lithographic performance by using ArF stepper(0.6NA). In this paper, we will describe the basic idea for designing of the novel cycloolefin resist and demonstrate lithographic capabilities, especially in terms of process window for 130nm feature. In addition, the etch resistance of this resist will be shown. We believe that off-axis illumination should be applied to 130nm device technology to obtain wider process window
    Download PDF (696K)
  • Takeshi Okino, Koji Asakawa, Naomi Shida, Tohru Ushirogouchi
    1998 Volume 11 Issue 3 Pages 489-492
    Published: 1998
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    Download PDF (226K)
  • Koji Nozaki, Ei Yano
    1998 Volume 11 Issue 3 Pages 493-498
    Published: 1998
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    We proposed the use of 3-hydroxy-γ-butyrolactone and 2-methyl-2-adamantanol as acid-labile protective groups in a methacrylate polymer for building a 193-nm resist with good lithographic and cost performance. In this paper, we investigated the influence of the quantity of 2, 2′- azobisisobutyronitrile (AIBN) used in the polymer synthesis, the effect of the polymer molecular weight (Mw) on the lithographic performance, and heat-flow resistance of the resist. We discuss the impact of the AIBN loadings, on the pattern profiles as well as the sensitivity and suitable molecular weight for high resolution. Through the investigation, we found that the quantity of AIBN had little influence on the pattern profiles and the lower loading afforded higher sensitivity. We also found that the suitable Mw was around 15, 000. The resist displayed no pattern deformation up to 130°C, which is higher than that for a Novolak resist.
    Download PDF (545K)
  • K.-D. Ahn, J.-H. Kang, C.-W. Lee, J.-M. Kim, D.-K. Han, J.-H. Lee, I. ...
    1998 Volume 11 Issue 3 Pages 499-503
    Published: 1998
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    Download PDF (268K)
  • Koji Arimitsu, Kunihiro Ichimura
    1998 Volume 11 Issue 3 Pages 505-506
    Published: 1998
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    Download PDF (125K)
  • Katsumi Maeda, Shigeyuki Iwasa, Kaichiro Nakano, Etsuo Hasegawa
    1998 Volume 11 Issue 3 Pages 507-512
    Published: 1998
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    We designed novel alicyclic epoxy polymers, poly(carboxy- tetracyclo[4.4.0.12, 5.17, 10]dodecyl (meth)acrylate-co-epoxyalicyclic acrylate) (poly(CTCDD(M)Ax-EPAAy)) for an ArF single-layer negative resist. These polymers became insoluble in a TMAH solution by an acid-catalyzed crosslinking reaction of the epoxy groups. A chemically amplified negative resist (consisting of the polymer having a 3, 4-epoxytricyclo [5.2.1.02, 6]decyl group and photoacid generator (PAG)) exhibited a resolution of 0.3 0-μm L/S using a 0.238% TMAH developer and an ArF exposure system (NA=0.55). We also investigated the influence of the polymer structures and additives on the lithographic characteristics. We found that the addition of alicyclic polyalcohol improved the resolution. The three-component resist system composed of the polymer which has 64% of the 2- epoxyethylnorbornyl group, 2, 3-dihydroxy-5-hydroxymethylnorbornane, and PAG resolved a 0.225-μm L/S pattern at 6.8mJ/cm2 with a 2.38% TMAH solution.
    Download PDF (405K)
  • A.M. Goethals, I. Pollers, F. van Roey, T. Sugihara, K. Ronse, V. van ...
    1998 Volume 11 Issue 3 Pages 513-523
    Published: 1998
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    For 193nm lithography several resist options are available such as single layer in combination with anti-reflective layers, bi-layer and top surface imaging. Single layer resist materials are preferred by the industry because of their limited complexity. A bi-layer resist process however has its inherent resolution and processing latitudes advantage as the imaging takes place in a thin top resist layer. Both single layer and bi-layer resists have
    been evaluated in terms of lithographic performance such as resolution, linearity, process windows and proximity effect. While satisfactory results are obtained with the single layer resist, the bi-layer results are still superior. Critical step in the bi-layer process is the dry development in an oxygen plasma. The dry development step has been optimised. The bi-layer resist shows a resolution down to 0.12μm with wide processing latitudes, adequate
    reflection control and good dry etch resistance. The resolution and processing windows were even further increased by the use of off-axis illumination.
    Download PDF (1529K)
  • Wenwei Zhao, Yusuke Sakurai, Takeshi Ohfuji, Masaru Sasago, Seiichi Ta ...
    1998 Volume 11 Issue 3 Pages 525-532
    Published: 1998
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    Acrylonitrile (AN)/methacrylic acid (MAA) copolymer and AN/tert-butyl methacrylate (tBMA)/MAA terpolymer were prepared, and their dry etch resistance were measured. The excellent dry etch resistance found in these materials were attributed to the contribution of some induced chemical reactions. To further understand their roles in the improvement of dry etch resistance, the reactions especially the thermally induced cyclization reaction were investigated in detail. It was found that thermally induced cyclization of the nitrile groups in carboxyl acid-contained copolymers closely depended on the content of the acidic component. Protection of the acid moiety through esterfication thus suppressed the occurrence of the reaction. Conversely, cleavage of the protection groups led to the resumption of the reaction. Attempt was made to correlate the increase of fry etch resistance upon thermal treatment with the
    formation of cyclized structures.
    Download PDF (437K)
  • Yasunari Maekawa, Takumi Ueno, Takao Miwa, Yoshiaki Okabe, Géra ...
    1998 Volume 11 Issue 3 Pages 533-536
    Published: 1998
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    Download PDF (224K)
  • Hirohmi Watanabe, Kei'ichi Maeshima, Toshiaki Aoai, Syun'ichi Kondo, T ...
    1998 Volume 11 Issue 3 Pages 537-540
    Published: 1998
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    Download PDF (204K)
  • Anthony E. Novembre, Leonidas E. Ocola, Frank Houlihan, Chester Knurek ...
    1998 Volume 11 Issue 3 Pages 541-545
    Published: 1998
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    A series of commercially viable positive and negative acting chemically amplified resists developed for 193nm and 248nm lithographies have been investigated for use in the SCALPEL technology. The investigation focused on determining the compatibility of these materials to the operating conditions and writing strategy of the exposure tool. The resist systems investigated included the deep-UV positive acting chemically amplified resist ARCH produced by Olin Microelectronics Materials (OMM), the negative acting material NEB 22A made available by Sumitomo and a 193nm sensitive positive tone system being developed by Lucent and OMM. The extent to which these materials exhibited volatilization in the tool during exposure determined there compatibility. Those materials characterized by having a high activation energy for the acid catalyzed deprotection process and use of acid molecules which are stable at temperatures in the 40-50°C range were viewed as compatible with the SCALPEL exposure system. For the resists investigated the sensitivity @100KV ranged from 10-30μC/cm2. 80-100nm line and space pattern resolution was routinely observed and process latitude in excess of 20% for features _??_0.20μm was measured.
    Download PDF (350K)
feedback
Top