Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
Volume 30, Issue 6
Displaying 1-16 of 16 articles from this issue
  • Kentaro Taki, Tatsuki Isawa, Akira Mizoguchi
    2017 Volume 30 Issue 6 Pages 619-625
    Published: December 20, 2017
    Released on J-STAGE: January 15, 2018
    JOURNAL FREE ACCESS
    Porous polyimide is a promising low-permittivity material for reducing the attenuation of high-frequency signals. Previously, the suitability of porous polyimide films for flexible printed circuits was tested by subjecting them to cover-layering and copper plating. These treatments resulted in pore collapse and infiltration, respectively, indicating the necessity of using closed-pore films. Herein, closed-pore porous polyimide films were prepared at various CO2 gas pressures, tertiary amine methacrylate monomer concentrations, and pre-baking times, revealing the key role of pre-baking time in maximizing porosity while preserving closed pores. In contrast to our previous study, the formation of closed pores was explained by a novel mechanism featuring CO2 bubble nucleation as a key step.
    Download PDF (4652K)
  • Hiroki Yamamoto, Hiroto Kudo, Takahiro Kozawa
    2017 Volume 30 Issue 6 Pages 627-631
    Published: December 20, 2017
    Released on J-STAGE: January 15, 2018
    JOURNAL FREE ACCESS
    The requirements for next generation resist materials in extreme ultraviolet (EUV) lithography are very challenging. Therefore, the development of new resist materials has been expected to meet strict requirements. Molecular resists are promising resists owing to the small size of their building blocks. In this study, we examined lithographic performances, such as sensitivity and patterning, using noria derivative (noria-CHVEs, Resists 1-3) under EUV and a 75 keV electron beam (EB) exposure system. In particular, the effect of the protection ratio on the lithographic performances was studied by changing the protection ratio. The sensitivities of noria-CHVEs showed high values in the range between 1.8 and 3.0 mJ/cm2. Resist 2 could provide a resist pattern with a higher resolution than Resists 1 and Resist 3, i.e., a semi-isolated pattern with a line width of 30 nm (pitch: 100 nm). These results indicate that the synthesized noria-CHVEs are promising EUV resist materials.
    Download PDF (759K)
  • Atsushi Shiraishi, Hideki Kimura, Dennis Oprych, Christian Sc ...
    2017 Volume 30 Issue 6 Pages 633-638
    Published: December 20, 2017
    Released on J-STAGE: January 15, 2018
    JOURNAL FREE ACCESS
    Substituted diaryliodonium salts bearing weak coordinating anions ([(CF3SO2)3C]-; [(CF3SO2)2N]-; [PF6]-; [PF3(C2F5)3]-; [PF3(n-C4F9)3]-) were tested regarding their efficiency as radical initiator to initiate radical polymerization according to a sensitized mechanism. A NIR LED emitting at 790 nm was applied to initiate sensitized polymerization applying the polymethine S2265 as sensitizer. Change of the sensitizer resulting in spectral overlap with emission of UV-LED emitting at 395 nm complimented the experiments to understand the behavior of these iodonium salts under different exposure conditions. Furthermore, formation of protons was quantitatively probed by Rhodamine B lactone showing that UV sensitization resulted in a significant higher yield compared to NIR-sensitized photopolymerization. Surprisingly, the iodonium salt bearing the [(CF3SO2)3C]--anion exhibited a good performance in both radical photopolymerization and photoinduced formation of protons. Thioxanthon (ITX) served as sensitizer for all UV-LED experiments.
    Download PDF (754K)
  • Rao Varanasi, Toru Umeda, Michael Mesawich, Patrick Connor, Law ...
    2017 Volume 30 Issue 6 Pages 639-643
    Published: December 20, 2017
    Released on J-STAGE: January 15, 2018
    JOURNAL FREE ACCESS
    Two versions of a specific 2 nm rated filter containing filtration medium and all other components produced from high density polyethylene (HDPE), one subjected to standard cleaning, the other to specialized ultra-cleaning, were evaluated in terms of their cleanliness characteristics, and also defectivity of wafers processed with photoresist filtered through each. With respect to inherent cleanliness, the ultraclean version exhibited a 70% reduction in total metal extractables and 90% reduction in organics extractables compared to the standard clean version. In terms of particulate cleanliness, the ultraclean version achieved stability of effluent particles 30nm and larger in about half the time required by the standard clean version, also exhibiting effluent levels at stability almost 90% lower. In evaluating defectivity of blanket wafers processed with photoresist filtered through either version, initial defect density while using the ultraclean version was about half that observed when the standard clean version was in service, with defectivity also falling more rapidly during subsequent usage of the ultraclean version compared to the standard clean version. Similar behavior was observed for patterned wafers, where the enhanced defect reduction was primarily of bridging defects. The filter evaluation and actual process-oriented results demonstrate the extreme value in using filtration designed possessing the optimal intrinsic characteristics, but with further improvements possible through enhanced cleaning processes.
    Download PDF (2673K)
  • Tomotaka Tsuchimura, Takeshi Kawabata
    2017 Volume 30 Issue 6 Pages 645-649
    Published: December 20, 2017
    Released on J-STAGE: January 15, 2018
    JOURNAL FREE ACCESS
    Disulfonimide acid amplifier which produces superacid was newly designed and synthesized. This compound exhibited high acid generation efficiency and thermal stability on a polymer film. Further, this compound enhanced the sensitivity in the positive chemically amplified photoresist system.
    Download PDF (775K)
  • Sevnur Keskin Dogruyol
    2017 Volume 30 Issue 6 Pages 651-656
    Published: December 20, 2017
    Released on J-STAGE: January 15, 2018
    JOURNAL FREE ACCESS
    The gelation of a 75% epoxy diacrylate (EA) and 25% tripropyleneglycol diacrylate (TPGDA) mixture (P-3038) was performed using 2-(N-methyl-N-phenyl)acetonaphthone (MPA) and morpholino acetonaphthone (MPM) as Type I photoinitiators at different light intensities by using a photo-differential scanning calorimetric (Photo-DSC) technique. Identical temperature values and initiator concentrations were used for the photopolymerization reactions. The results show that maximum rate of polymerization (Rpmax) and final conversion values present good correlation at different light intensities.
    Download PDF (1033K)
  • Shingo Ueno, Takanori Ichiki
    2017 Volume 30 Issue 6 Pages 657-660
    Published: December 20, 2017
    Released on J-STAGE: January 15, 2018
    JOURNAL FREE ACCESS
    The spatiotemporal control of enzyme reactions based on the combined use of a caged substrate and local photoirradiation was proposed for use in the high-throughput screening of enzymes on a microwell array chip. An enzyme reaction is initiated immediately after the mixing of an enzyme and its substrate. Since, rapid injection or addition of a reagent to initiate the reaction with appropriate timing is required for the fine measurement of the reaction. However, it is usually very difficult to inject an additional reagent in micrometer-sized wells. The coupled reactions of pyruvate kinase and luciferase with caged adenosine diphosphate (caged ADP) were used as a model of enzyme reactions to be controlled by phototriggering. The coupled reactions were suppressed until photoirradiation and were initiated by the photoirradiation at 340-380 nm. The extent of the reactions was proved to be controlled by adjusting the photoirradiation dose; 500 mJ/cm2 was sufficient to saturate the extent of the triggered reactions with 0.1 mM caged ADP in 50 μL. Multistep measurements of enzyme reactions by reusing the same reaction solution were carried out by multistep phototriggering at fixed or various doses. The phototriggering technique enables the measurement of enzyme reactions in micrometer-sized microwells.
    Download PDF (666K)
  • Ryoji Ichikawa, Hideyuki Nakano
    2017 Volume 30 Issue 6 Pages 661-665
    Published: December 20, 2017
    Released on J-STAGE: January 15, 2018
    JOURNAL FREE ACCESS
    In due course of our studies on photomechanical effects observed for azobenzene-based photochromic amorphous molecular materials, photoinduced change in phase-separated structure of an amorphous binary film composed of title materials has been investigated in the present study. When the phase-separated film was irradiated with a linearly polarized laser beam, the circular domains in the film extended in the direction parallel to the polarization direction of the incident laser beam. The rate of such photoinduced extension was found to increase with increasing temperature. The result seemed to be contrary to the results of the temperature dependences of other photomechanical effects observed for photochromic amorphous molecular materials we have previously reported. Azo-molecules were suggested to exist both in the domains and in their surroundings and it is of importance to consider the both effects of azo-molecules existed in the domains and in their surroundings for phase-separated binary systems.
    Download PDF (768K)
  • Marina Baryshnikova, Danilo De Simone, Werner Knaepen, Krzyszto ...
    2017 Volume 30 Issue 6 Pages 667-670
    Published: December 20, 2017
    Released on J-STAGE: January 15, 2018
    JOURNAL FREE ACCESS
    It is well known that high line-edge roughness (LER) and line-width roughness (LWR) are one of the key problems hindering utilization of extreme ultraviolet lithography (EUVL) in fabrication of semiconductor devices at advanced technology nodes where pattern with sub-20 nm half pitch lines and spaces is required. Sequential infiltration synthesis (SIS) has never been used before in lithography for line-edge roughness mitigation, but this concept has proved its value for 14 nm half pitch block copolymer lines formed by directed self-assembly. During this process an inorganic scaffold is being deposited inside the resist material after performing several sequential infiltration cycles with metal-organic precursor and its oxidizing agent. Etching in oxygen atmosphere after is required to transform former resist pattern into metal oxide one and improve (up to 40%) the pattern roughness. In this paper, for the first time we demonstrate feasibility of sequential infiltration synthesis (SIS) for smoothing of EUV resist lines.
    Download PDF (1067K)
  • Junya Suzuki, Tsuyoshi Furukawa, Hiromu Miyata, Motohiro Shiratani, T ...
    2017 Volume 30 Issue 6 Pages 671-674
    Published: December 20, 2017
    Released on J-STAGE: January 15, 2018
    JOURNAL FREE ACCESS
    Extreme ultraviolet (EUV) lithography has been recognized as a promising candidate for the manufacturing of semiconductor devices as LS/CH pattern for 7 nm node and beyond. EUV lithography is ready for high volume manufacturing stage. For the high-volume manufacturing of semiconductor devices, significant improvement of sensitivity and line edge roughness (LWR) and Local CD Uniformity (LCDU) is required for EUV resist. It is well-known that the key challenge for EUV resist is the simultaneous requirement of ultrahigh resolution (R), low line edge roughness (L) and faster sensitivity (S). Especially sensitivity and good roughness is important for EUV lithography high volume manufacturing. We are trying to improve sensitivity and LWR/LCDU from many directions. From material side, we found that both sensitivity and LWR/LCDU are simultaneously improved by controlling acid diffusion length and efficiency of acid generation using novel resin and PAG. And optimizing EUV integration is one of the good solution to improve sensitivity and LWR/LCDU. We are challenging to develop new multi-layer materials to improve sensitivity and LWR/LCDU. Our new multi-layer materials are designed for best performance in EUV lithography system. From process side, we found that sensitivity was substantially improved maintaining LWR applying novel type of chemical amplified resist (CAR) and process. EUV lithography evaluation results obtained for new CAR EUV interference lithography. And, metal containing resist is one possibility to break through sensitivity and LWR trade off. In this paper, we will report the recent progress of sensitivity and LWR/LCDU improvement of JSR novel EUV resist and process.
    Download PDF (2008K)
  • Yannick Vesters, Danilo De Simone, Stefan De Gendt
    2017 Volume 30 Issue 6 Pages 675-681
    Published: December 20, 2017
    Released on J-STAGE: January 15, 2018
    JOURNAL FREE ACCESS
    The resist development step in photolithography is a complex process involving selective dissolution between exposed and unexposed photoresist. This phenomenon is commonly described by a one-to-one relation between dissolution rate and deprotection level of the photoresist. Experimentally, the dissolution rate can be obtained dynamically via a dissolution rate monitor. In EUV lithography, photoresist films are typically below 50 nm, and total dissolution of the film can be very quick. In this work, we have improved a custom built dissolution rate monitor and developed a procedure to record experimental data on dissolution rate of very thin film of EUV photoresist using multi-wavelength reflectometry. We present the results of the validation of this set-up, which ensures repeatability, good temporal resolution, and a short black-out time. The tool now available proves to be suitable for the study of EUV photoresist dissolution and can provide relevant input for lithographic software and for improving the design of photoresists. The impact of film thickness variation is presented, as well as a comparison of two chemically amplified resists with a different quencher loading.
    Download PDF (1632K)
  • Haruyuki Okamura, Masashi Iseki, Kaai Degawa, Akikazu Matsumoto, Keiko ...
    2017 Volume 30 Issue 6 Pages 683-688
    Published: December 20, 2017
    Released on J-STAGE: January 15, 2018
    JOURNAL FREE ACCESS
    We have developed the photocrosslinked films of a diphenyl- or dinaphthylfluorene having epoxy and oxetane moieties and polysilanes blends in the presence of a photoacid generator by irradiation at 405 nm. Photo-induced decomposition of the Si-Si bonds of the polysilanes was successfully suppressed during the visible light irradiation. The cationic photocrosslinking properties of the blends were strongly affected by the post-exposure-bake conditions and irradiation dose. Polysilane moieties were incorporated into the film by the termination reaction of the polymerization with the terminal OH groups. We have successfully fabricated films with high refractive indices (nd: 1.70) and the refractive index values were tunable by irradiation at 254 nm due to the decomposition of the Si-Si bonds of the polysilanes.
    Download PDF (770K)
  • Haruyuki Okamura, Kenta Nomura, Akikazu Matsumoto
    2017 Volume 30 Issue 6 Pages 689-694
    Published: December 20, 2017
    Released on J-STAGE: January 15, 2018
    JOURNAL FREE ACCESS
    A mechanical investigation of reworkable resins was carried out using a reworkable monomer as an adhesive. A methacrylate monomer which has both an epoxy moiety and a thermally cleavable tertiary ester moiety in a molecule was employed as the reworkable monomer. The lap shear adhesion strength of the cured reworkable monomer decreased after decomposition by photo-irradiation followed by baking. The decrease revealed by FT-IR measurements was due to the acid-catalyzed decomposition of the tertiary ester linkages in the cured reworkable monomer.
    Download PDF (998K)
  • Patrick Naulleau, Suchit Bhattarai, Andrew Neureuther
    2017 Volume 30 Issue 6 Pages 695-701
    Published: December 20, 2017
    Released on J-STAGE: January 15, 2018
    JOURNAL FREE ACCESS
    The problem of stochastics in photoresist patterning is of significant concern in the commercialization of extreme ultraviolet lithography, especially in patterning of contacts or vias. Traditionally, contact hole critical dimension (CD) variability is characterized as a Gaussian process, however, recent experimental results have demonstrated significant deviations from Gaussian statistics, especially on the small CD side. Modeling results show that this non-Gaussian variation is expected and can be attributed to the non-linear behavior of the contact hole exposure latitude as a function of dose. It is shown that if we consider the noise in the dose or sensitivity domain, it can still be treated as a Gaussian process. The CD statistics are then determined by mapping the Gaussian dose/sensitivity noise through the non-linear and deterministic CD versus dose function.
    Download PDF (2160K)
  • Kyoung Mo Jeong, Sun Goo Kim, Jong Myoung Won, Yong Kyu Lee, Ken'ichi ...
    2017 Volume 30 Issue 6 Pages 703-708
    Published: December 20, 2017
    Released on J-STAGE: January 15, 2018
    JOURNAL FREE ACCESS
    In this study, the rheological properties of UV-curable ink were investigated. UV flexo/gravure-type suspension of carbon black in liquid medium containing various binding materials, such as acrylate pre-polymers, di-/multi-functional monomers, and diluents, were used as sample inks. Two types of carbon black, and three kinds of pre-polymer were individually used as ink components. The five kinds of inks were prepared to characterize their rheological properties on a rheometer in terms of steady and dynamic behaviours. In addition, we evaluated the relations between the flocculated structures and nonlinear viscoelastic properties of the inks. We found that because the carbon black particles had extremely high surface activity and absorbed various substances on the particle surface, they easily agglomerated with each other and then conformed to the denser three-dimensional network structure.
    Download PDF (926K)
  • Natsumi Yagi, Akira Kawai
    2017 Volume 30 Issue 6 Pages 709-714
    Published: December 20, 2017
    Released on J-STAGE: January 15, 2018
    JOURNAL FREE ACCESS
    The three-dimensional wetting phenomena in a T-shaped microchannel made of a SU-8 photoresist accompanying with a pillar sub-pattern are analyzed by using an environmental scanning electron microscopy (ESEM). By lowering the sample temperature in the ESEM chamber at constant the H2O pressure, the water condensation occurs under H2O dew point and the liquid propagation in the microchannel can be observed. The experimental results show that the pinning angle of water flow at T-junction is 58 degrees in the T-shaped microchannel. In the case of the T-shaped microchannel with the sub-pattern, it is found that the pinning angle becomes low by adhering the water around the sub-pattern. These results can realize to the smooth flow at the channel junction without any water trapping for the three-dimensional microfluidic devices.
    Download PDF (1059K)
feedback
Top