Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
Volume 29, Issue 5
Displaying 1-24 of 24 articles from this issue
  • Tsukasa Azuma, Yuriko Seino, Hironobu Sato, Yusuke Kasahara, Katsutos ...
    2016 Volume 29 Issue 5 Pages 647-652
    Published: June 21, 2016
    Released on J-STAGE: September 02, 2016
    JOURNAL FREE ACCESS
    A novel half-pitch (HP) 10 nm physical-epitaxial frequency multiplication process using a high chi (χ) lamellar block copolymer was developed to carry out process verification of directed self-assembly lithography on a 300 mm wafer for practical semiconductor device manufacturing. Electrically open and short process level-test element group (PL-TEG) yield verification of sub-10 nm metal wire circuits fabricated using the HP 10 nm physical-epitaxial frequency multiplication process was carried out on a 300 mm wafer. The electrically open and short PL-TEG yield verification revealed the viability of the HP 10 nm physical-epitaxial frequency multiplication process from the perspective of the total practical performance including critical dimension control, defect control, pattern placement error, space width roughness, space edge roughness, and process windows in the pattern transfer process.
    Download PDF (2653K)
  • Tamar Segal-Peretz, Chun Zhou, Jiaxing Ren, Takahiro Dazai, Leonidas ...
    2016 Volume 29 Issue 5 Pages 653-657
    Published: June 21, 2016
    Released on J-STAGE: September 02, 2016
    JOURNAL FREE ACCESS
    The three-dimensional assembly of poly (styrene-b-methyl methacrylate) (PS-b-PMMA) in chemoepitaxy and graphoepitaxy directed self-assembly (DSA) was investigated using scanning transmission electron microscopy (STEM) tomography. The tomographic characterization revealed hidden morphologies and defects at the BCP- chemical pattern interface in lamellar DSA, and probed the formation of cylinders at the bottom of cylindrical DSA for contact hole shrink. Future work will include control over 3D assembly in sub-10 nm processes.
    Download PDF (2819K)
  • Nobuya Hiroshiba, Ryo Okubo, Azusa N. Hattori, Hidekazu Tanaka, Masar ...
    2016 Volume 29 Issue 5 Pages 659-665
    Published: June 21, 2016
    Released on J-STAGE: September 02, 2016
    JOURNAL FREE ACCESS
    Thermally induced growth of cylindrical microphase separation was observed for polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) thin films on native oxide silicon substrates unmodified and modified with hydroxy-terminated polystyrene (PS-OH) by atomic force microscopy in dynamic force mode. The degrees of long-range order of the cylindrical microphase separation structures were investigated using the correlation length values. The correlation length became constant over 30 seconds regardless of the kind of substrates, while the PS-OH modified substrate caused a longer correlation length and a shorter closely packed periodicity in the cylindrical microphase separation than the bare silicon substrate did.
    Download PDF (6452K)
  • Akiya Kawaue, Tasuku Matsumiya, Takehito Seo, Takaya Maehashi, T ...
    2016 Volume 29 Issue 5 Pages 667-670
    Published: June 21, 2016
    Released on J-STAGE: September 02, 2016
    JOURNAL FREE ACCESS
    The most widely studied block copolymer (BCP) for directed self-assembly (DSA) is poly(styrene-block-methyl methacrylate) (PS-b-PMMA). However PS-b-PMMA has a limitation of patterning size in sub-10 nm because the Flory-Huggins interaction parameter (χ) of PS-b-PMMA is approximately 0.038 at room temperature. To obtain sub-10 nm patterning size, many high χ BCPs and systems have been studied. We investigate DSA of PS-b-PMMA with blended an ionic liquid (IL) via thermal annealing with free surface under nitrogen. L0 becomes larger than pure BCP by adding ILs in this system since χ parameter becomes higher. However there is a problem that long time (>30 min) and/or high temperature (>200 oC) annealing conditions causes L0 shrink than in mild annealing condition (200 oC /1 min). Here, we report IL design to prevent L0 shrink. The design of IL that we found in this study achieved less than 5 % L0 shrink at 215 oC for 30 min annealing.
    Download PDF (543K)
  • Celia Nicolet, Xavier Chevalier, Julien Beausoleil, Kaumba Sakavu ...
    2016 Volume 29 Issue 5 Pages 671-674
    Published: June 21, 2016
    Released on J-STAGE: September 02, 2016
    JOURNAL FREE ACCESS
    Arkema has developed two complementary strategies to enable early introduction of DSA technology into lithographic large nodes. The blend approach is a very powerful way to easily tune the reachable period. Special BCPs and blends enable to increase achievable BCP film thicknesses and to limit the defectivity leading to improved self-assembly's properties. Special BCPs specially prove dramatic improvement in the self-assembled features as regards to classical PS-b-PMMA systems of same dimension. Additionally, the processes for both of these systems are compatible with current end users' requirements.
    Download PDF (2117K)
  • Toru Umeda, Tasuku Matsumiya, Hitoshi Yamano, Shuichi Tsuzuki
    2016 Volume 29 Issue 5 Pages 675-678
    Published: June 21, 2016
    Released on J-STAGE: September 02, 2016
    JOURNAL FREE ACCESS
    Besides block copolymer, gel defects in neutral layer is another concern in directed self assembly lithography. To find appropriate filtration, we studied gel reduction in neutral layer materials. The test includes coating defectivity evaluation and gel removal test using various materials of filter membrane and neutral layer materials varied in monomer proportion of the polystyrene-random-poly(methyl methacrylate) (PS-r-PMMA) copolymer. Practical results using real world fluids and filters are obtained on the basis of collaboration. As a result, for intermediate PS content materials, Nylon 6,6 5 nm filter is found to be appropriate for gel reduction based on both coating defectivity and gel removal testing results. For relatively high PS content material, both Nylon 6,6 5 nm and HDPE 2 nm are recommended also based on the results. The mechanism of the gel removal is schemed based on hydrophilic interaction between Nylon 6,6 and hydrophilic part of the polymer.
    Download PDF (716K)
  • Eri Hirahara, Yi Cao, Margareta Paunescu, Orest Polishchuk, EunJeong J ...
    2016 Volume 29 Issue 5 Pages 679-684
    Published: June 21, 2016
    Released on J-STAGE: September 02, 2016
    JOURNAL FREE ACCESS
    To extend directed self-assembly (DSA) of poly(styrene-block-methyl methacrylate), PS-b-PMMA, for higher resolution and potentially improving pattern line edge roughness (LER) and placement accuracy, we have developed a next generation material platform of organic high-χ block copolymers ("HC series", AZEMBLYTM EXP PME-3000 series). The polymer platform has a built-in orientation control mechanism which results in convenient morphology orientation control without involving topcoat/additive or delicate solvent vapor annealing. Sub-10 nm node lines and spaces (L/S) patterning with two major chemoepitaxy DSA, LiNe and SMARTTM processes, was successfully implemented on 300 mm wafer substrates using the PME-3000 lamellar series.
    Download PDF (3517K)
  • Ankit Vora, Gabriela Alva, Anindarupa Chunder, Kristin Schmidt, Teddie ...
    2016 Volume 29 Issue 5 Pages 685-688
    Published: June 21, 2016
    Released on J-STAGE: September 02, 2016
    JOURNAL FREE ACCESS
    Cylinder-forming Polystyrene-block-polycarbonate (PS-b-PC) high-χ block copolymers (BCP) were developed to extend the scaling beyond the most widely used BCP, poly(styrene-b-poly(methyl methacrylate) (PS-b-PMMA). In this work, two PC-containing BCPs, poly(styrene-b-trimethylene carbonate (PS-b-PTMC) and poly(styrene-b-methyl 5-methyl-2-oxo-1,3-dioxane-5-carboxylate) (PS-b-PMTC-Me) were synthesized using ring opening polymerization (ROP) of the cyclic carbonyl monomers from hydroxy-functional polystyrene (PS-OH) with diazabicyclo[5.4.0]undec-7-ene (DBU) as the base catalyst. Resulting BCPs were purified by fractionating in methanol:acetonitrile (MeOH:MeCN) solvent mixture to afford clean BCPs that are needed for thin-film applications. Thin-film morphologies and the orientation of the purified BCPs were determined using atomic force microscopy (AFM) analysis by coating the BCP solution on neutral underlayer modified substrates followed by short thermal annealing. It was observed that while the PS-b-PTMC domains oriented parallel to the surface, the PS-b-PMTC-Me BCP domains oriented perpendicular to the surface at similar thermal annealing temperatures without the use of topcoat or additives.
    Download PDF (1258K)
  • Takehiro Seshimo, Rin Odashima, Rina Maeda, Yutaka Takenaka, Daisuke K ...
    2016 Volume 29 Issue 5 Pages 689-693
    Published: June 21, 2016
    Released on J-STAGE: September 02, 2016
    JOURNAL FREE ACCESS
    The directed self-assembly (DSA) of block copolymers (BCPs) can realize as one of candidates for next generation lithography. The BCPs for DSA should exhibit a high resolution, an ability to form perpendicularly oriented domains in the thin film by thermal annealing under mild condition, and a high etching selectivity. Here, we report a new Si-containing BCPs targeted to form perpendicularly oriented cylinders in thin films. The hydroxyl groups in the side chains introduced in the Si-containing block provide a good surface free energy (SFE) balance leading to the perpendicular orientation. Furthermore, this orientation can be completed in only one minute atmospheric thermal annealing at 150 oC. Oxygen plasma etching for the thin films results in the achievement of hexagonally packed cylinders.
    Download PDF (3260K)
  • Toshiyuki Himi, Yukio Kawaguchi, Terumasa Kosaka, Ryosuke Ogaki, Kazuh ...
    2016 Volume 29 Issue 5 Pages 695-700
    Published: June 21, 2016
    Released on J-STAGE: September 02, 2016
    JOURNAL FREE ACCESS
    Polystyrene-b-poly(4-hydroxystyrene) (SH) with narrow molecular weight distribution (Mw/Mn<1.1) has been successfully synthesized by living anionic polymerization technique. It was confirmed that the SH diblock copolymer with molecular weight of 27k and with volume fraction of S of 0.68 showed the definite alternative lamellar structure with domain spacing of 20.8 nm (the half pitch (HP) of 10.4 nm). Furthermore, monodisperse SHSH tetrablock copolymers with molecular weight of 27k and 23k have been also synthesized, and from these copolymers formations of lamellar structure with the HP of 5.4nm and 4.9nm, respectively, were observed by TEM and SAXS measurements. Moreover, not only the synthesis of the block copolymers by anionic polymerization in experimental lab scale up to 25 g, but a preparation of well-defined block copolymers by large-scale anionic polymerization over 3 kg was also developed.
    Download PDF (2406K)
  • Yasunobu Someya, Yusuke Asano, Michael J. Maher, Gregory Blachut, Aust ...
    2016 Volume 29 Issue 5 Pages 701-704
    Published: June 21, 2016
    Released on J-STAGE: September 02, 2016
    JOURNAL FREE ACCESS
    Block co-polymers that include a Si-containing styrene monomer co-polymers were synthesized by living anionic polymerization. These polymers with very low polydispersity demonstrated lamella morphology that can be oriented by annealing with a neutral, crosslinkable surface treatment and a neutral top-coat.
    Download PDF (3219K)
  • Ryuichi Nakatani, Hiroki Takano, Lei Wang, Alvin Chandra, Yuki Tanaka, ...
    2016 Volume 29 Issue 5 Pages 705-708
    Published: June 21, 2016
    Released on J-STAGE: September 02, 2016
    JOURNAL FREE ACCESS
    Lamellar-forming PMAPOSS-b-PTFEMA block copolymers with unimodal GPC chromatograms were successfully synthesized by the polymerization of TFEMA in the presence of PMAPOSS as a macro-RAFT agent and AIBN as the initiator via RAFT. The SAXS, AFM and GISAXS data showed the lamellar domain was perpendicularly oriented to the Si-substrate after atmospheric thermal annealing. These experimental results also show that it is possible to precisely produce PMAPOSS-b-PTFEMA quantitatively, impervious to any side reactions. This technique is vital to meet the growing demands of the microelectronics industry for high throughput devices of ever-decreasing sizes.
    Download PDF (1297K)
  • Kenji Yoshimoto, Akihisa Yoshida, Masahiro Ohshima, Katsuyoshi Kodera, ...
    2016 Volume 29 Issue 5 Pages 709-715
    Published: June 21, 2016
    Released on J-STAGE: September 02, 2016
    JOURNAL FREE ACCESS
    Previously we investigated a directed self-assembly (DSA) process for fabricating a non-periodic pattern (i.e., wide line) lying in between the periodic line/space patterns. A symmetric poly(styrene-block-methyl methacrylate) (PS-b-PMMA) was employed here, which formed a lamella morphology with the natural period (L0) of 30 nm. Unlike the conventional DSA process, we used ArF resist patterns as the chemical guides, and generated a horizontal lamella on the non-periodic guide pattern as an etch template for fabricating the wide line. Our preliminary results showed that controlling the morphological defects around the boundary between the periodic and non-periodic regions would be crucial for this DSA flow. In this paper, we report on how the width of the non-periodic pattern, W, would affect on the overall self-assembled morphology of PS-b-PMMA on the pre-patterned surface. The experimental results showed that a transition from the perpendicular to horizontal lamella on the non-periodic pattern occurred at W=2.50 L0. It was also revealed from our simulations that at W=1.50 L0, the perpendicular PS-rich domain was not attached to the guide surface; it might be taken off after the removal of PMMA. For W>3.5 L0, the wide line was transferred to the underlying silicon (Si) substrate, but some large defects were observed in the non-periodic region, possibly due to some residues of the neutral materials on top of the ArF guide pattern.
    Download PDF (5138K)
  • Takahiro Kozawa, Julius Joseph Santillan, Toshiro Itani
    2016 Volume 29 Issue 5 Pages 717-723
    Published: June 21, 2016
    Released on J-STAGE: September 02, 2016
    JOURNAL FREE ACCESS
    In the sub-10 nm resist processes for the high volume production of semiconductor devices, the suppression of stochastic phenomena is a critical issue. In this study, the resist processes of line-and-space patterns with sub-10 nm half-pitches were calculated by the Monte Carlo method on the basis of the sensitization and reaction mechanisms of chemically amplified extreme ultraviolet (EUV) resists. The effects of thermalization distance and effective reaction radius for deprotection on line edge roughness (LER) and stochastic defect generation were evaluated for the different halt-pitches in the sub-10 nm region.
    Download PDF (617K)
  • Masaaki Yasuda, Kazuhiro Tada, Masatoshi Kotera
    2016 Volume 29 Issue 5 Pages 725-730
    Published: June 21, 2016
    Released on J-STAGE: September 02, 2016
    JOURNAL FREE ACCESS
    Multiphysics simulations are performed to analyze the pattern formation process in electron beam lithography (EBL). The simulations consist of a Monte Carlo simulation of electron scattering and molecular dynamics simulation. Some issues encountered in EBL such as electron irradiation defects, resist heating effects and charging effects on pattern formation are studied using the simulations. The simulations revealed shrinkage of the electron-exposed resist surface, the change of pattern edge caused by rising temperature and the shift of electron beam landing position induced by a charging effect. Atomic-scale information on pattern structure and stress distribution in EBL are also discussed.
    Download PDF (3505K)
  • Eui-Hyun Ryu, Myung-Yeol Kim, You-Jung Yoon, Kwang-Hwyi Im, Hae-Mi Jeo ...
    2016 Volume 29 Issue 5 Pages 731-736
    Published: June 21, 2016
    Released on J-STAGE: September 02, 2016
    JOURNAL FREE ACCESS
    Solubility prediction of organic ionic compounds in both aqueous and organic solvents is important for understanding and optimizing lithographic performances. In this study, we proposed computational methods to predict solubility of organic ionic compounds. To compare the predicted solubility with the experimental one, we applied a multiple linear regression model by changing a set of explanatory variables. We conclude that the variables of solvation free energies of cation-anion pair, cation and anion, which are ΔGoAB, ΔGoA and ΔGoB respectively, will be sufficient to describe the relationship between the predicted and experimental solubility values. We expect that the more accurate empirical model for quantitative prediction of solubility of organic ionic compounds by expanding these regression models and further optimizing the parameters based on larger set of experimental values will be reserved.
    Download PDF (1205K)
  • Takeo Watanabe, Tetsuo Harada
    2016 Volume 29 Issue 5 Pages 737-744
    Published: June 21, 2016
    Released on J-STAGE: September 02, 2016
    JOURNAL FREE ACCESS
    The advanced feature size patterning process of semiconductor conductor devices has been strongly charged in the information-technology oriented society. Extreme ultraviolet lithography (EUVL) is expected as a leading candidate of the next generation lithography for semiconductor electronic devices. The technology issues in EUV lithographic are the development of 1) EUV light source with high power and high stability, 2) EUV resist with simultaneous achievement of high resolution, high sensitivity, low line width roughness (LWR), and low outgassing. Center for EUVL in University of Hyogo has played an important role in the research and development of EUV lithography in two decades. Research and development of EUV lithography toward HVM was started its development just before twenty years ago to develop full field exposure tool employing tree aspherical imaging optics. In University of Hyogo developed 1) large reflectometer for the reflectivity measurement of the collector mirror for the EUV light source, 2) the EUV resist evaluation system such as the EUV interference lithography and outgassing system using in-situ ellipsometer for the evaluation of EUV resist of 10 nm and below, and X-ray absorption fine structure for EUV resist chemical reaction analysis to increase EUV exposure sensitivity, 4) the defects inspection of the actinic blanks and patterned mask. As the results, those evaluation tool could contribute EUV lithographic technology to lead to the HVM of electronic devices.
    Download PDF (5669K)
  • Kazuma Yamamoto, Yuriko Matsuura, Tomoyasu Yashima, Tatsuro Nagahar ...
    2016 Volume 29 Issue 5 Pages 745-748
    Published: June 21, 2016
    Released on J-STAGE: September 02, 2016
    JOURNAL FREE ACCESS
    Extreme ultraviolet lithography is one of the most promising processes for high volume manufacturing of sub-10nm node device. Currently, studies on resist to achieve higher resolution have been intensively conducted. However, to achieve high resolution, it is also important to take care of resist development process in which pattern collapse and pattern bridging are observed commonly. In such a state, rinse material is known to mitigate pattern collapse and pattern bridging. In this paper, we studied the effectiveness of rinse material against lithography performance with two parameters, such as surface tension and resist affinity. As the result, the best rinse material achieved better resist resolution of 16 nm half pitch, larger process window and higher resist sensitivity by 5.5% than DIW.
    Download PDF (1180K)
  • Daiki Mamezaki, Masanori Watanabe, Tetsuo Harada, Takeo Watanabe
    2016 Volume 29 Issue 5 Pages 749-752
    Published: June 21, 2016
    Released on J-STAGE: September 02, 2016
    JOURNAL FREE ACCESS
    To increase the sensitivity of extreme-ultraviolet (EUV) resist, the method of adding high absorption material in base resin of the resist such as hafnium or zirconium metal has been developed. Since the EUV absorption of the base resin increases, the secondary electron emissions would increase to have a high-resist-exposure sensitivity. Thus to achieve the high sensitive EUV resist, it is important to evaluate EUV absorption of the EUV resist precisely. We have developed a novel transmittance measurement method to evaluate EUV resist absorption with high precision at BL10 beamline of NewSUBARU synchrotron light facility. In this novel method, a sample resist was coated on an EUV photodiode directly. The EUV transmittance of the resist was measured with photodiode signals before and after the coating. The resist thickness uniformity coating on a photodiode has much smaller value compared to that coating on a freestanding membrane. By novel method, the measurement precisions of the resist thickness and the EUV transmittance were significantly improved. As the result, the high measurement precision of absorption coefficient of 1% was achieved. This novel method will help the development of high sensitive EUV resist with the high-absorption material.
    Download PDF (2298K)
  • James Cameron, Mingqi Li, Cong Liu, Jin Wuk Sung, Cheng Bai Xu
    2016 Volume 29 Issue 5 Pages 753-760
    Published: June 21, 2016
    Released on J-STAGE: September 02, 2016
    JOURNAL FREE ACCESS
    Multiple patterning is the defacto manufacturing technology for today's advanced semiconductor devices. However, this technology is becoming limited by technical challenges including cost, resolution, overlay and defectivity. To address these challenges there is growing interest in post lithographic processes which can reduce the pattern feature size thereby effectively enabling increased resolution corresponding to low k1 imaging which is not available by single exposure schemes. In addition to increased resolution, these processes can also improve Process Window (PW), Line Width Roughness (LWR) and Critical Dimension Uniformity (CDU). In this paper, we describe our technical approaches to reducing the Critical Dimension (CD) of resist patterns especially on the most challenging layers. In the area of Bright Field (BF) imaging, we have successfully developed a Positive Tone Develop Trim (PTDT) material which effectively reduces the CD of 193nm immersion line/space (L/S) features generated by a conventional PTD process. To enable CD shrink of Dark Field (DF) features [trenches and contact holes (C/H)] as generated by a 193nm immersion Negative Tone Develop (NTD) process, we have developed a NTD Shrink (NTDS) material. Both PTDT and NTDS approaches are low cost spin on track based processes and are competitive with other approaches in terms of cost, controlled shrink amount, post shrink PW and pattern fidelity.
    Download PDF (7948K)
  • Takashi Sekito, Yuriko Matsuura, Tatsuro Nagahara
    2016 Volume 29 Issue 5 Pages 761-764
    Published: June 21, 2016
    Released on J-STAGE: September 02, 2016
    JOURNAL FREE ACCESS
    Roughness improvement of Negative Tone Development (NTD) photoresist by chemical shrink process is discussed in this paper for the extension of 193 nm lithography. Addition to feature size shrinkage, improvement of photoresist roughness is also important subject for advanced node device manufacturing. New shrink materials were evaluated by Scan Probe Microscope (SPM) and a material improved surface roughness by 37%. Moreover, 28% improvement of Contact Edge Roughness (CER) was confirmed.
    Download PDF (1775K)
  • Hiroki Yamamoto, Akira Ohnuma, Bunsho Ohtani, Takahiro Kozawa
    2016 Volume 29 Issue 5 Pages 765-768
    Published: June 21, 2016
    Released on J-STAGE: September 02, 2016
    JOURNAL FREE ACCESS
    The fundamental limitations associated with top-down lithographic techniques gradually have approached. One of methods to overcome these limitations is the combination technique of top-down nanofabrication such as nano imprint and bottom-up nanofabrication such as self-assembly. Nanoparticles has been expected to use as the building blocks. In order to realize this method, it is desirable to place nanometer sized components such as nanoparticles in exact positions for nano-fabrication with high precision and reproducibility. In particular, gold (Au) nanoparticles, which covalently linked to biomolecules such as DNA, peptides, nucleic acids, proteins, and cell have attracted much attention for biosensing, bioimaging, and plasmonics application, and so on. In this study, we present a simple method of controlled array of Au nanoparticles on a nanopattern by the combination of self-assembly techniques bottom-up nano-fabrication such as self-assembled monolayers and top-down nano-fabrication such as nano imprint. We believe this method will contribute to studies on the observation of single biomolecules such as DNA, peptides, nucleic acids, proteins, plasmonics and so on.
    Download PDF (1121K)
  • Mehdi Sharif, Behzad Pourabas, Ali Fazli
    2016 Volume 29 Issue 5 Pages 769-773
    Published: June 21, 2016
    Released on J-STAGE: September 02, 2016
    JOURNAL FREE ACCESS
    In the present study, in-situ UV reduction of graphene oxide (GO) during photo-polymerization of the epoxy-novolac nanocomposite and its effect on the thermal properties of nanocomposite were investigated at different loading level of GO. Chemical structure and structural distortion of GO before and after UV irradiation have been characterized using infrared and Raman spectroscopy as well as scanning electron microscopy (SEM). Obtained results showed that GO has been reduced to graphene (Gr) in effect of UV irradiation. TEM and SEM observations indicated well dispersion of GO sheets within the cured epoxy resin matrix.
    Download PDF (1145K)
  • Ahmad Azimy, Seyed Mohammad Ali Hosseini, Navid Ramezanian
    2016 Volume 29 Issue 5 Pages 775-780
    Published: June 21, 2016
    Released on J-STAGE: September 02, 2016
    JOURNAL FREE ACCESS
    The optimization of organic photovoltaic (OPV) devices based on a thin-film blend of the polymer poly(3-hexyl thiophene) [P3HT] and the fullerene [C60] was explored. To achieve an efficient photo induced charge transfer, the following aspects have been studied: (1) Determination of the donor/acceptor composition ratio that yields good film interface and high photon absorption; (2) Selection of suitable Nanoparticle to obtain optimal absorption spectrum and good efficiency; (3) Thermal annealing process to enhance the photon absorption, improve the short circuit current and the filling factor, and therefore the efficiency of the devices. In particular, the preparation of the composition and thermal treatment of the active layer along with the effect of Nanoparticle's presence are addressed. In addition, the use of Nanoparticle (WO3) into the P3HT/C60 layer to improve the power conversion efficiency (PCE) of the device is investigated. WO3 Nanoparticle's doped poly(3-hexylthiophene) and C60 blends (P3HT:C60: WO3) have been produced as the photoactive layer of organic photovoltaic devices (OPVs). The 40 ppm WO3 doping OPVs exhibit higher power conversion efficiency (PCE) than other OPVs.
    Download PDF (678K)
feedback
Top