Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
Volume 9, Issue 4
Displaying 1-23 of 23 articles from this issue
  • F. VINET, P. J. PANIEZ
    1996 Volume 9 Issue 4 Pages 541-551
    Published: 1996
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    Ultra-large integrated devices require an increase in density and number of interconnection levels. The design rules for 0.18μm technologies are 0.2μm for the holes and 0.3μm lines and spaces patterns for the metal level. DUV lithography using advanced techniques will achieve such design rules. However, DUV materials based on chemical amplification have not yet reached full maturity. In this paper, we have investigated their ability to achieve advanced interconnections for both lithography and etching.
    Regarding the lithographic performance, the two main problems to be overcome are the sensitivity to both delay time and substrate type. We propose a physico chemical method based on DSC measurements to screen the materials able to be processed with minimized sensitivity to both delay and substrates.
    The selected materials, positive and negative tones, as well as optimized lithographic processes have been used to investigate their etching durability.
    For the etching step, the main parameters to be considered are the resist selectivity to the etched layer and the resist mask integrity during etching. When using High Density Plasma for oxide etching, the resist mask is modified, leading to striations on the sidewalls of the holes which requires optimization for 0.2μm critical dimensions. In the case of Aluminum etching, the selected DUV resist shows a reduced selectivity as well as a bad sidewall passivation by the polymers compared to I-line photoresists. This will be a limitation to the conventional metal architecture which will be accentuated with the use of copper. The invert metallization schemes are promising as they only require dielectric etching which is less sensitive to the resist process.
    Download PDF (562K)
  • CHAN-MOON CHUNG, KWANG-DUK AHN
    1996 Volume 9 Issue 4 Pages 553-556
    Published: 1996
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    Download PDF (157K)
  • Hiroshi ITO, Greg BREYTA, Will CONLEY, Pete HAGERTY, Jim THACKERAY, St ...
    1996 Volume 9 Issue 4 Pages 557-572
    Published: 1996
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    A production-worthy deep UV resist system built on the ESCAP platform is described. The resist consists of a thermally and hydrolytically stable resin and acid generator and thus can be heated at high temperatures forfree volume reduction, which provides stabilization toward airborne base contamination. The film densification in conjunction with the use of a bulky acid reduces acid diffusion during postexposure bake, contributing to high lithographic performance. Robust 0.25μm process latitudes have been demonstrated. Furthermore, the resist has produced excellent 175nm line/space images with a depth-of-focus of 0.3μm on a KrF excimer laser stepper with a numerical aperture of 0.60, indicating that the resist allows the use of the current exposure tool in the early 1 Gbit generation.
    Download PDF (635K)
  • HANS-THOMAS SCHACHT, NORBERT MUENZEL, PASQUALE FALCIGNO, HEINZ HOLZWAR ...
    1996 Volume 9 Issue 4 Pages 573-586
    Published: 1996
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    A new type of acetal protected hydroxystyrene polymers with acid labile crosslinked units has been developed for KrF excimer laser photoresists. Deep-UV photoresist formulations based on these new polymers provide better resolution and higher thermal flow stability than conventional systems. The polymer structure was evaluated by 13C-NMR spectroscopy and gel permeation chromatography. Factors controlling the crosslinking reactions and the impact of the crosslinking degree on lithographic properties are discussed.
    Download PDF (517K)
  • NORIHITO OHMORI, YOSHIKAZU NAKAZONO, EIICHI ARAM, MASAYUKI RATA, TADAT ...
    1996 Volume 9 Issue 4 Pages 587-590
    Published: 1996
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    Download PDF (187K)
  • SHUICHI HASHIMOTO, TOSHIRO ITANI, HIROSHI YOSHINO, MITSUHARU YAMANA, N ...
    1996 Volume 9 Issue 4 Pages 591-600
    Published: 1996
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    The relationship between photoacid characteristics and lithographic performance in chemically amplified deep ultraviolet (DUV) resists was investigated. Two types of resist systems which consist of the same resin (tert-butoxycarbonyl (t-BOC) protected polyhydroxystyrene) and different photoacid generators (PAGs) were used. Resist 1 contains PAG 1 which generates aromatic sulfonic acid (acid 1; 2, 4- dimethylbenzensulfonic acid) and resist 2 contains PAG 2 which generates alkyl sulfonic acid (acid 2; cyclohexanesulfonic acid).
    The sensitivity of resist 1 was about four times higher than that of resist 2, and T-top profile became remarkable in resist 1, while the resolution capability was much the same. In order to clarify the reason of these differences, the photoacid characteristics such as the acid generation efficiency by exposure, the vapor pressure, the acid strength (pKa value), and the acid catalyzed deblocking reaction were investigated, together with the dissolution rate characteristics.
    Higher sensitivity of resist 1(aromatic sulfonic acid) was originated from larger amount of photogenerated acid (high absorbance), longer diffusion length and higher efficiency of deblocking reaction, as compared with resist 2 (alkyl sulfonic acid). Moreover, it was considered that T-topping profile was due to the evaporation of aromatic sulfonic acid 1.
    Based on these analysis, it was concluded that moderate absorbance, optimum diffusion length and lower evaporation property can realize ideal acid characteristics, leading to superior resist performance.
    Download PDF (419K)
  • Shigeyasu Mori, Kouichirou Adachi, Takashi Fukushima, Yuichi Sato
    1996 Volume 9 Issue 4 Pages 601-610
    Published: 1996
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    SiN, Bare Si, and SiO2 substrate-effects in chemically amplified (CA) resist have been investigated by surface analysis and evaluating the pattern profile of CA negative tone resist. It is considered that substrate-effects are distinguished from adhesion, optics and substrate components. It is found that the undercut profile of negative tone resist on SiN substrate can not be due to adhesion and optics. Fine profile can be replicated on SiN substrate treated with oxygen plasma optimized condition. Undercut profile can not be affected mainly by adsorbed materials on SiN substrate from Thermal Desorption Spectroscopy (TDS) analysis results. From the results of Electron Spectroscopy for Chemical Analysis (ESCA), it is found that Si-N bonding is replaced to Si-O bonding while SiN substrate is treated with oxygen plasma. The pattern profile on SiN substrate by oxygen plasma treatment is improved by the thin SiO2 layer formed on SiN substrate. Relations between footing length and oxygen plasma treatment condition suggest that undercut profile is caused by the atom content of nitrogen on the surface of SiN substrate. Excessive oxygen plasma treatment of SiN substrate occurs the footing profile for the negative tone resist because of surface damage. At the interface between the SiN substrate and the CA resist, the SiN substrate works as base existing H2O, and quenches photo- generated acids. Additionally, it is considered that the NHx on SiN substrate quenches the photo-generated acids directly. The mechanism of substrate-effect is clarified.
    Download PDF (821K)
  • Takashi Hattori, Akira Imai, Ryoko Yamanaka, Takumi Ueno, Hiroshi Shir ...
    1996 Volume 9 Issue 4 Pages 611-618
    Published: 1996
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    A delay-free deprotection approach has been developed that produces an environmentally robust chemically amplified positive resist. The acetal 1- ethoxyethyl (1EE) group was found to be easily and completely deprotected in the presence of photogenerated acid at room temperature. This is attributed to the volatility of the reaction products. Utilizing this kind of acetal group, it is thus possible to produce a robust chemically amplified resist. A simple two-component chemically amplified positive resist system composed of polyvinylphenol partially protected with 1-ethoxyethyl and a photoacid generator exhibited excellent lithographic performance without the addition of stabilizers.
    Download PDF (362K)
  • POST-EXPOSURE DELAY EFFECT
    SEIJI NAGAHARA, YOSHIO YAMASHITA, TAKAO TAGUCHI, TAKAHIRO KOZAWA, SEII ...
    1996 Volume 9 Issue 4 Pages 619-626
    Published: 1996
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    The post exposure delay (PED) effect on transient species induced in Xray chemically amplified positive resist was investigated. The resist consisted of m-cresol novolac (matrix polymer), bisphenol A protected with tert-butoxycarbonyl groups (dissolution inhibitor), and triphenylsulfonium triflate (acid generator). In order to analyze the PED effect, the changes in optical absorption were measured with a conventional spectrophotometer. For the resist system, it was observed that the absorbance of protonated intermediates of a matrix polymer decreased with PED time. The rate of decrease was accelerated by air borne contaminants, and was also affected by dissolution inhibitor.
    Download PDF (337K)
  • Hiroaki Oizumi, Yoshio Yamashita, Taro Ogawa, Masayuki Ohtani
    1996 Volume 9 Issue 4 Pages 627-635
    Published: 1996
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    A wet-silylated and dry-developed resist process for proximity X-ray and broad-band EUV (13-40nm) lithographies is investigated in order to overcome pattern collapse during wet development and to delineate finepitch and high-aspect-ratio patterns. In addition, the applicability to sub- 0.1-μm patterning is discussed. Imaging experiments for proximity X-ray lithography are performed using a synchrotron radiation (SR) light source from the beamline (BL-A1) at the SORTEC ring, and those for broad-band EUV lithography are performed using 32:1 reduction Schwarzschild optics illuminated with the SR light source from the beamline (BL-D2). The silylation solution consists of B[DMA]DS (bis(dimethylamino)dimethylsilane) or HMCTS (hexamethylcyclotrisilazane) as the silylating agent, MCA (methyl cellosolve acetate) as the diffusion promoter and a solvent xylene. Silylation characterization and determination of the optimum composition of the silylation solution have been performed using Fourier transform infrared spec roscopy (FTIR) measurement. Using the optimal wet-silylation and dry-developed resist system, 0.08-μm lines and spaces of a 0.5-μm-thick resist (aspect ratio=6) in proximity X-ray lithography, and 0.1-μm lines and spaces of a 0.55-μm-thick resist (aspect ratio=5.5) in broad-band EUVL can be successfully delineated. High resolutions of_??_0.1μm show that the silylation process for proximity X-ray and EUV lithographies is applicable to sub-0.1-μm lithography with a single-resist scheme.
    Download PDF (425K)
  • HIROSHI WATANABE, HIROAKI SUMITANI, KENJI ITOGA, TAKASHI HIFUMI, MASAM ...
    1996 Volume 9 Issue 4 Pages 637-644
    Published: 1996
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    We have studied the characteristics of replicated resist pattern by synchrotron radiation (SR) lithography on the real topographic substrates such as a dynamic random access memory (DRAM) structure. Two type topographic structures; the step height between memory cell area and peripheral circuit area, which is regarded as the deviation of the mask/wafer gap and causes the difference of the optical image at each area, and small topographic structure in the memory cell area where the resist thickness changes continuously. It was found that the critical dimension (CD) was controlled within ±10% CD at the range of the proximity gap 14μm and a high contrast resist is effective to control the CD deviation in the memory cell area. On the real DRAM topographic structure at the height of 500Å, we obtained the CD deviation of 0.014μm(3σ) for 0.14μm transfer gate pattern. These results show SR lithography is the promising technique for giga bit scale device fabrication.
    Download PDF (441K)
  • UV-AND E-BEAM PATTERNING OF BIOACTIVE MOLECULES
    DAN V NICOLAU, TAKAHISA TAGUCHI, HIROSHI TANIGUCHI, SUSUMU YOSHIKAWA
    1996 Volume 9 Issue 4 Pages 645-652
    Published: 1996
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    UV- and e-beam lithography employing Diazo-Naphtho-Quinone/novolak and poly(tert-Buthyl-Methacrylate)-co-(MethylMethacrylate) respectively as radiation sensitive systems were used to pattern bioactive molecules. Positive and negative tone DNQ based lithography succeed in printing fluorescent avidin up to 2μm resolution, through the linkage of the amino-end of the protein to the photoinduced inden carboxylic acid, either via in-situ addition to ketene, or via NH2-to-COOH crosslinking mediated by carbodiimide. The carboxylic group produced during e-beam patterning was used as an anchoring site for the same protein. Both UV and e-beam lithography were used for bottom-to-top molecular architecture construction, rather than top-to-bottom classical expose-develop technological sequence. It was found that UV and e-beam lithography materials and techniques can be easily transferred in bio-microlithography, with impact on biodevices fabrication and combinatorial chemistry.
    Download PDF (733K)
  • V. N. Vasilets, A. V. Kovalchuk, T. I. Yuranova, A. N. Ponomarev
    1996 Volume 9 Issue 4 Pages 653-658
    Published: 1996
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    The kinetic and mechanism of the plasma chemical conversions of methylmethacrylate (MMA) in RF discharge in the conditions leading to the e-beam resist film formation were studied by GC-IR technique (chromatographic detection using FT-IR spectrometer), mass-spectrometry and visible range spectroscopy. A kinetic scheme with 96 reactions was optimized to describe experimentally observed kinetic for the buildup of 20 main gaseous products of MMA conversion in the wide range of discharge parameters. A new method for improving resist plasma etching durability by means of plasma chemical modification has been developed. It was shown that organic films formed under the special conditions in RF discharge in the mixture of argon and MMA while submitted to RF plasma in RIE mode were found to have 8-10 times greater durability than that for PMMA containing resists.
    Download PDF (888K)
  • H. Takenouchi, T. Uchida, S. Morita
    1996 Volume 9 Issue 4 Pages 659-661
    Published: 1996
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    Pulsed plasma polymerization of styrene was performed in a plug flow reactor which was discharged by a capacitively coupled electrode system and a pulsed RF generator. The polystylene film was evaluated as an electron-beam resist, which showed a good sensitivity of about 60μC/cm2. The resist was also patterned by an atomic force microscope (AFM) with Au coated cantilever and the scanned area of 10×10μm2 was developed successfully in a mixture of hexane and ethanol.
    Download PDF (223K)
  • Anthony E. Novembre, Regine G. Tarascon, Steven D. Berger, Chris J. Bi ...
    1996 Volume 9 Issue 4 Pages 663-675
    Published: 1996
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    The deep-UV positive acting chemically amplified resists referred to as CAMP6 and ARCH were evaluated for use in direct write and projection electron-beam lithography technologies. In the evaluation we compared the lithographic characteristics of each resist under electron-beam exposure using primarily a JEOL JBX-5DII system operating at 50KeV and a Scattering with Angular Limitation Projection Electron-Beam Lithography (SCALPEL) tool operating at 100KeV. Both resists exhibited contrast values >5 and resolution well below 0.20μm. CAMP6 was however plagued with excessive film loss after the process post-exposure bake step and required a protective coating on the film to improve process latitude. A series of ARCH formulations were observed to eliminate any film loss caused by either heating during exposure or the high vacuum environment of the exposure tool. When exposed on the direct write tool, 0.09μm wide features at a dose of 11μC/cm2 were delineated. Sub-0.25μm images were obtai ed using the projection system at a dose of 19 μC/cm2.
    Download PDF (551K)
  • OPTIMIZATION OF BASE ADDITIVES FOR ENVIRONMENTAL STABILIZATION
    SATOSHI SAITO, NAOKO KIHARA, TAKUYA NAITO, MAKOTO NAKASE, TETSURO NAKA ...
    1996 Volume 9 Issue 4 Pages 677-684
    Published: 1996
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    The effects of base additives in chemically amplified positive electron-beam (EB) resist are discussed. The amine additives can improve the resolution of the resist by preventing diffusion of acids outside the exposed area. As a result, 0.1-μm L/S patterns can be obtained, successfully. Additionally, the amine additives can also improve the environmental stability. However, it was demonstrated that the influence on environmental stability differs depending on the chemical structure of amine. The phenomenon is attributable to the process of a proton-base reaction which is investigated by semi-empirical molecular orbital calculation.
    Download PDF (381K)
  • S. Migitaka, S. Uchino, T. Ueno, J. Yamamoto, K. Kojima, M. Hashimoto, ...
    1996 Volume 9 Issue 4 Pages 685-691
    Published: 1996
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    Chemically amplified negative resists made by utilizing the polarity-change reaction of phenylcarbinol were investigated for electron beam lithography. The resist composed of 1, 3-bis(α-hydroxyisopropyl)benzene (Diol-1), m/p-cresol novolak resin, and diphenyliodonium trifluoromethanesulfonate (DITf) showed the best lithographic performance in terms of sensitivity and contrast among the resists using phenylcarbinol. Fine 0.25-μm line-and-space patterns were formed by using this Diol-1 resist with a dose of 3.6 μC/cm2 in conjunction with a 50-kV electron beam exposure system.
    Download PDF (266K)
  • YOSHIKAZU NAKAZONO, NORIHITO OHMORI, EIICHI ARAKI, MASAYUKI HATA, TADA ...
    1996 Volume 9 Issue 4 Pages 693-696
    Published: 1996
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    Download PDF (182K)
  • Keiji Watanabe, Miwa Igarashi, Ei Yano, Eiichi Hoshino, Kotaro Shirabe ...
    1996 Volume 9 Issue 4 Pages 697-706
    Published: 1996
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    We describe a new electron-beam (EB) bi-level resist system of threedimensional polysilphenylenesiloxane (TSPS) over a conductive bottom layer containing sulfonated polyaniline (SPAn). The top-layer TSPS, with a chloromethylphenylethyl (CMPE) functional group and a suitable molecular weight, is a highly sensitive negative resist without a chemically amplified system, and has a long film life. The conductive bottom layer, comprises SPAn and a crosslinker, and has excellent conductivity, a long shelf-life, and stability to solvents used for TSPS coating and development. We achieved a high resolution for 1-Gbit DRAM reticle fabrication with optical proximity correction (OPC) patterns, and significantly reduced the pattern distortion caused by the proximity effect and EB charging using this system without any data correction. Moreover, we found that the conductive resist system can be used to reduce the plasma etching damage of thin gate oxide when used in MOS-LSI device fabrication.
    Download PDF (579K)
  • HIDEKI TOMOZAWA, YOSHIHIRO SAIDA, YOSHIAKI IKENOUE, FUMIO MURAI, YASUN ...
    1996 Volume 9 Issue 4 Pages 707-714
    Published: 1996
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    Self-doped conjugated polymers are described concerning their synthesis and physical properties for an application as coating materials on electron-beam resists. As examples, the characteristics of two types of water-soluble polyheterocycles are presented regarding this application. The coated films on the resists are respectively evaluated on both preventive effect in a positional error in electron-beam writing process and stabilization effect in sensitivity of a chemically amplified resist. It was noted that the self-doped polymers may be suitable materials for manufacturing processes using electron-beam lithography.
    Download PDF (573K)
  • Yukinori Ochiai, Shoko Manako, Seiji Samukawa, Jun-ichi Fujita, Kiyosh ...
    1996 Volume 9 Issue 4 Pages 715-722
    Published: 1996
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    A nanometer electron beam lithography system has been developed and used for fabricating sub-0.1μm gate MOSFETs. The system uses a Zr/O/W thermal field emitter (TFE) and has a 5-nm- diameter beam at a current of 100pA, and an acceleration voltage of 50kV. A 10-nm line in PMMA resist on a thick Si substrate was demonstrated. We develop an inorganic resist, LiXAl1-XF, which shows a potential for high resolution lithography less than 10nm. A chemically amplified negative resist was used as a single layer mask for MOS FET gate fabrication, and showed high resolution less than 0.1μm width. Proximity effect correction was applied to the gate lithography, resulting in excellent line width control even less than 0.1μm. Operation of a 40-nm-poly-silicon gate NMOSFET was confirmed.
    Download PDF (654K)
  • Photochemistry and Evaluation as A Lithographic Resist for Synchrotron X-ray and Deep UV
    Tsuguo Yamaoka, Takashi Suzuki, Shigeru Takahara, Takao Taguchi, Yoshi ...
    1996 Volume 9 Issue 4 Pages 723-727
    Published: 1996
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    A new positive resist composed of a bifunctional vinyl ether monomer as crosslink-er and a photoacid generator and a protic base resin was investigated. This resist materials utilizes the unique reaction of vinyl ether monomer. The vinyl ether monomer forms crosslinks of base polymer by prebaking and the crosslinks are decoupled by acidolytic reaction via generation of acids upon exposure. The lithographic properties for x-ray lithography such as effects of crosslinker concentration and process conditions were evaluated. The resist has a high sensitivity of 35mJ/cm2 and a high gamma value of of 10. A good profile for 0.2μm lines and spaces patterns was obtained. Furthermore, it was found that the resist material has high thermal resistance up to 180°C against both pattern deformation and resist thickness loss.
    Download PDF (184K)
  • David E. Fremgen, S. Peter Pappas
    1996 Volume 9 Issue 4 Pages 729-738
    Published: 1996
    Released on J-STAGE: August 04, 2006
    JOURNAL FREE ACCESS
    Laser exposure (at 488nm) of 2, 6-di(4′-methoxyphenyl)-4-phenylpyrylium hexafluoroantimonate (Pyr) in cycloaliphatic epoxy resin (CY 179) caused partial polymerization at laser dosage of 1.4J/cm2. Less reactive bisphenol-A epoxy (Epon 828) underwent partial polymerization at laser dosage of 7.0J/cm2. More complete polymerization of Epon 828 was observed at the same dose in the presence of 1, 6 hexanediol. Direct interaction of alcohol with the pyrylium excited state was demonstrated by fluorescence quenching. A Stern-Volmer plot and determination of pyrylium excited state lifetime allowed calculation of the rate constant for this reaction. The value of 3.8x108L equiv-1s-1 is indicative of an efficient interaction which is proposed to result in the photogeneration of protons. In contrast to the photochemical studies, thermally-induced polymerization of epoxy was not enhanced in the presence of alcohols.
    Download PDF (325K)
feedback
Top