Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
Volume 18, Issue 3
Displaying 1-18 of 18 articles from this issue
  • Gensu Lee, Jaechang Jung, Sungkwon Lee, Sungkoo Lee, Taekwan Kim, Jung ...
    2005 Volume 18 Issue 3 Pages 345-348
    Published: 2005
    Released on J-STAGE: August 09, 2005
    JOURNAL FREE ACCESS
    We have studied new contact hole shrinkage methods, CASS process and MAGIC process1. They are simple and cost-effective methods compared to conventional technologies such as RELACS, SAFIER, and CONPEAT. We successfully defined sub-80nm C/H patterns with good profile and broad process margin using modified MAGIC process, so-called M+ (MAGIC-PLUS) process. This process showed less photo-to-etch bias than conventional thermal flow due to relative vertical profile. This process is exactly same process with thermal flow process except treating specific aqueous solution after either soft bake or exposure.
    Download PDF (600K)
  • Akira Kawai
    2005 Volume 18 Issue 3 Pages 349-354
    Published: 2005
    Released on J-STAGE: August 09, 2005
    JOURNAL FREE ACCESS
    By using atomic force microscope (AFM), a nanoscale bubble (NB) formed on a film surface of ArF excimer resist can be imaged clearly in deionized water. The diameter and height of NBs observed are approximately 40-100nm and 3-8nm, respectively. By approaching the AFM tip onto the NBs, the repulsive force can be detected but the attractive force on the resist surface. The interaction analysis between the AFM tip and the ArF excimer resist surface is effective in order to identify the NBs and to distinguish from solid particles. These phenomena can be discussed on the basis of Lifshitz theory. The separation procedure of the NB is accomplished with the AFM tip. The applying load at which the NB can be separated into the minute one is approximately 5nN. Therefore, the NB is more likely to adhere to the ArF excimer resist surface than the AFM tip surface. The condensation among the NBs can be realized experimentally by the scanning with the AFM tip. Consequently, the line shape NBs of 200nm-1μm width and 5-15μm length can be formed at the scanning edge of the AFM tip. The analysis of NB nature is discussed on the point of the immersion lithography.
    Download PDF (591K)
  • Hiroshi Ito, Hoa D Truong, Sean D. Burns, Dirk Pfeiffer, Wu-Song Huang ...
    2005 Volume 18 Issue 3 Pages 355-364
    Published: 2005
    Released on J-STAGE: August 09, 2005
    JOURNAL FREE ACCESS
    Positive 193 nm bilayer resists based on polysilsesquioxane are described. Fluoroalcohol is employed as an acid group instead of carboxylic acid because of its more attractive dissolution properties. Polymers were carefully analyzed by 19F, 13C, and 29Si NMR to determine composition and to quantify residual acetyl, silanol, and Q/T. In an attempt to better understand the dissolution behavior of exposed resist films, the silsesquioxane resist polymers were partially and fully deprotected in solution with acid and their dissolution kinetics investigated by using a quartz crystal microbalance (QCM). The exposed areas of the silsesquioxane resists can have a very fast dissolution rate (Rmax) of >20,000 A/sec (or even >100,000 A/sec). Heating the fully deprotected model polymers to 150 °C did not reduce the dissolution rate much, suggesting thermal condensation of silanol end groups is insignificant. Model deprotected polymers containing triphenylsulfonium nonaflate were exposed to 254 nm radiation, baked, and subjected to QCM measurements in order to probe whether or not acid-catalyzed silanol condensation would reduce the dissolution rate. A combination of high dose and high temperature bake resulted in significant reduction of the dissolution rate in the silsesquioxane polymer containing a small trifluoroalcohol. However, the dissolution behavior of the polymer bearing a bulky norbornene hexafluoroalcohol was unaffected by exposure and bake. Chemical and development contrast curves were generated by using a thermal gradient hotplate and bake temperature effects investigated. A postexposure bake temperature effect was quite small. The process window of the silsesquioxane bilayer resists was comparable to that of a high performance commercial 193 nm single layer resist for both isolated and nested contact hole imaging, Superior performance of our silsesquioxane resist was demonstrated in patterned etch in comparison with a cycloolefin-maleic anhydride bilayer resist.
    Download PDF (851K)
  • Takahashi Hosono, Tomotaka Yamada, Daisuke Kawana, Kazufumi Sato, Sunl ...
    2005 Volume 18 Issue 3 Pages 365-372
    Published: 2005
    Released on J-STAGE: August 09, 2005
    JOURNAL FREE ACCESS
    Highly etch resistant poly(silsesquioxane) based resists for ArF lithographic application have been developed. This paper reports on the development of a high etch resistant, high glass transition temperature bilayer resist system capable of imaging film thicknesses of 50 nm while maintaining the ability to transfer the images to the thick underlayer during the etching step. Silicon contents of greater than 23 weight percent were effectively incorporated into the polymer backbone by optimization of the silsesquioxane based structure. As with other poly(silsesquioxane) based polymer systems, placement of the silicon into the polymer backbone allows the incorporation of very high levels of silicon, while generating no detectable levels of outgassed silicon containing species during the 193 nm exposure.
    Download PDF (611K)
  • Takayoshi Niiyama, Akira Kawai
    2005 Volume 18 Issue 3 Pages 373-380
    Published: 2005
    Released on J-STAGE: August 09, 2005
    JOURNAL FREE ACCESS
    In deionaized (DI) water, a removal property of nanoscale bubbles formed on an ArF excimer resist (ArF resist) film is characterized. The fundamental factors of removal property can be explained by means of interaction analysis for a Si substrate. As the interaction analyses on the Si substrate, free energy change, spreading energy, balance model between line tension and buoyancy are discussed. All analyses indicate that the removing of nanoscale bubble from the Si substrate is difficult without any additional load. In order to analyze the fundamental nature of nanoscale bubble, the nanoscale bubble is observed on the Si substrate by using atomic force microscope (AFM). The nanoscale bubble, 50nm diameter and 30nm height, can be observed on the Si substrate. Meanwhile, on the ArF resist film, the nanoscale bubble is characterized based on the above discussion. The sizes of the nanoscale bubble are 240nm diameter and 60nm height. The removal property of nanoscale bubble on the ArF resist film can be explained based on surface energy.
    Download PDF (532K)
  • P. R. Varanasi, R. W. Kwong, M. Khojasteh, K. Patel, K. J. Chen, W. Li ...
    2005 Volume 18 Issue 3 Pages 381-387
    Published: 2005
    Released on J-STAGE: August 09, 2005
    JOURNAL FREE ACCESS
    We have designed and developed a variety of hexafluoroalcohol (HFA) pendant methacrylate monomers and the corresponding imaging polymers for ArF lithography. It was found that HFA side chains are critical for "swelling free" dissolution properties for the polymers and resists. Through the incorporation of HFA functionalities, ArF resists can obtain linear dissolution properties commonly seen in 248nm ESCAP resists. These improved dissolution properties in turn significantly reduce PEB sensitivity of higher activation protecting group (e.g., methyladamantanyl) based ArF resists. We have also showed that the fluorine impact on etch rates can be modulated through the insertion of cyclic and aliphatic spacers between the main chain and the HFA functionalities. The key application space for HFA-methacrylate resists appears to be trench level lithography. It was also demonstrated that these HFA materials are compatible with immersion lithography and result in dramatically improved process windows for iso trench features, in addition to other lines/space features.
    Download PDF (556K)
  • Masamitsu Shirai, Koichi Sakai, Tatsushi Koyanagi
    2005 Volume 18 Issue 3 Pages 389-392
    Published: 2005
    Released on J-STAGE: August 09, 2005
    JOURNAL FREE ACCESS
    Download PDF (282K)
  • Masamitsu Shirai, Shogo Matsumaru, Ryusaku Takahashi, Kohei Kasai, Yoh ...
    2005 Volume 18 Issue 3 Pages 393-398
    Published: 2005
    Released on J-STAGE: August 09, 2005
    JOURNAL FREE ACCESS
    We have prepared three different methyl acetal acryl polymers (2-admantyloxy methyl: AdOM, 1-methyladamantyloxy methyl: MAdOM and 4-oxo-2-admantyloxy methyl: OAdOM) to evaluate polymer properties compared with 2-methyl-2-admantyl (MAd). It was found from the thermal analysis, that the Tg of methyl acetal polymers are lower than that of MAd and Td of methyl acetal polymers are higher than that of MAd. We have found that the acid catalyzed deprotection reactivity of methyl acetal polymers (AdOM and MAdOM) resists are higher than that of MAd resist and the dissolution of methyl acetal polymers film were accelerated with 30 % more deprotection using FT-IR study. In addition, the AdOM resist displayed a good PEB sensitivity of 120 nm 1:1 dense line pattern with values of 3.43 nm/ °C, when the MAd resist displayed 4.29 nm/ °C.
    Download PDF (405K)
  • Ichiki Takemoto, Yusuke Fuji, Isao Yoshida, Kazuhiko Hashimoto, Takayu ...
    2005 Volume 18 Issue 3 Pages 399-406
    Published: 2005
    Released on J-STAGE: August 09, 2005
    JOURNAL FREE ACCESS
    Fundamental aspects of the thermal characteristics of ArF photoresists were investigated in terms of structure-property relationship. A series of model polymers were prepared and characterized in order to understand how changes in structure affect resin's thermal property that is of prime importance in designing resin structure for fine property tuning. Variations were given to the distance between bulky side groups and methacrylic main chain by systematically increasing the number of in-between methylene groups and the effect of side group's position on resin's glass transition behavior was monitored. It was noted that at one extreme case increase in side chain length from C0 to C4 corresponded to about 100°C glass transition temperature decrease, presumably due to a considerable free volume change. A careful study of a set of experimental results reveals that control of chain flexibility through pendent group's spatial positioning as well as one dimensional plasticizing by copolymerization of small size comonomers significantly changes the thermal property of resins and their thermo-physical response during the resist process. While it was reasonably expected that structural variation would result corresponding property change based on numerous previous study and widely accepted glass transition theory, some of the results learned from this investigation are still surprising and worth reviewing. With precise tuning ability of resin's thermal property via structural modification in addition to well-controlled synthetic methodology, glass transition behavior of current ArF resists can be better understood as technology keeps scaling down its dimension below 50 nm.
    Download PDF (576K)
  • Toshige Asakura, Hitoshi Yamamoto, Tobias Hintermann, Masaki Ohwa
    2005 Volume 18 Issue 3 Pages 407-414
    Published: 2005
    Released on J-STAGE: August 09, 2005
    JOURNAL FREE ACCESS
    Recently we have developed novel non-ionic photoacid generators (PAGs), 2-[2,2,3,3,4,4,5,5,6,6,7,7-dodecafluoro-1-(nonafluorobutylsulfonyloxyimino)-heptyl]-fluorene (DNHF) and 2-[2,2,3,3,4,4,4-heptafluoro-1-(nonafluorobutylsulfonyloxyimino)-butyl]-fluorene (HNBF), which generate a strong acid (perfluorobutanesulfonic acid) by light irradiation and are applicable to chemically amplified ArF photoresist. The studies on quantum yield of this chemistry under 193 nm exposure in an ArF model formulation and in a solution comparing with the ones of ionic PAGs, triphenylsulfonium perfluorobutanesulfonate (TPSPB) and Bis(4-tert-butylphenyl)iodonium perfluorobutanesulfonate (BPIPB) revealed that these novel PAGs are superior in photo efficiency to the others. PAG leaching into water from the resist during a model immersion process was investigated in detail. No leaching of DNHF and HNBF was observed under the model immersion process while significant amount of TPSBP was eluted. Dissolution rate of the resist including DNHF exposed under the model ArF immersion condition was monitored. No clear difference against dry condition was observed.
    Download PDF (488K)
  • Jen-Chieh Shih, Jiang-Hong Cheng, Dah-Chung Owe-Yang, Ching-Yu Chang
    2005 Volume 18 Issue 3 Pages 415-418
    Published: 2005
    Released on J-STAGE: August 09, 2005
    JOURNAL FREE ACCESS
    In this paper, a series of top anti-reflection coating (TARC) with different loading of photo acid generator (PAG) had been studied. The results show that PAG with longer diffusion length or higher loading level, in comparison with PAG contained in resist, has better performance for depth of focus (DOF) enhancement. Besides, we add some binder into the TARC to control the acid diffusion in TARC. Now we can control the diffusion of photo acid by using different TARC with different PAG and binder. Furthermore, when we examined the process window through all pitches, the range of DOF was improved from 0.02μm to 0.05μm. It is demonstrated the common window can be improved from 0.278μm to 0.324μm. From these results, we are convinced that TARC with PAG and binder can be used to enhance DOF for 65nm generation.
    Download PDF (280K)
  • Akira Igarashi, Koji Arimitsu, Ke'ichi Aoki, Kunihiro Ichimura
    2005 Volume 18 Issue 3 Pages 419-420
    Published: 2005
    Released on J-STAGE: August 09, 2005
    JOURNAL FREE ACCESS
    Download PDF (131K)
  • Toru Yamaguchi, Hideo Namatsu
    2005 Volume 18 Issue 3 Pages 421-423
    Published: 2005
    Released on J-STAGE: August 09, 2005
    JOURNAL FREE ACCESS
    Download PDF (481K)
  • Ratnam Sooriyakumaran, Truong Hoa, Linda Sundberg, Mark Morris, Bill H ...
    2005 Volume 18 Issue 3 Pages 425-429
    Published: 2005
    Released on J-STAGE: August 09, 2005
    JOURNAL FREE ACCESS
    Derivatives of Polyhedral Oligomeric Silsesquioxanes (POSS) and Oligosaccharides have been investigated as potential candidates for high resolution resists. POSS materials are cage compounds with defined mono-disperse molecular weights. Oligosaccharides are carbohydrates with defined number of monosaccharide units (2 to10) and may be cyclic or linear. These materials are attractive candidates for molecular resist development because of their commercial availability and the ease with which they can be derivatized. We have developed high resolution positive resists suitable for 193-nm and other emerging lithographic applications based on these materials.
    Download PDF (466K)
  • Kousuke Tsuchiya, Seung Wook Chang, Nelson M. Felix, Mitsuru Ueda, Chr ...
    2005 Volume 18 Issue 3 Pages 431-434
    Published: 2005
    Released on J-STAGE: August 09, 2005
    JOURNAL FREE ACCESS
    Several novel classes of molecular glasses were synthesized as photoresist materials for next generation lithography. These compounds were protected by protecting groups for chemically amplified systems and proved to possess high glass transition temperature (Tg) as well as amorphous properties. A positive-tone photoresist system with hexa(t-butoxy- carbonyloxyphenyl)benzene was demonstrated using E-beam lithography and 200 nm pattern size was obtained.
    Download PDF (388K)
  • Toshiki Ito, Masaya Ogino, Tomohiro Yamanaka, Yasuhisa Inao, Takako Ya ...
    2005 Volume 18 Issue 3 Pages 435-441
    Published: 2005
    Released on J-STAGE: August 09, 2005
    JOURNAL FREE ACCESS
    A resist pattern of half-pitch (hp) 50 nm, 120 nm deep was fabricated with near-field lithography (NFL) of i-line (&lamda; = 365 nm) using a positive-tone chemically amplified resist and a tri-layer resist process developed for NFL. The experimental results were in close agreement with the numerical results of electro-magnetic analysis using finite-difference time domain (FDTD) method. The possibility of fabricating sub-50 nm patterns was discussed over the numerical results.
    Download PDF (736K)
  • Toshiyuki Horiuchi, Yoshitaka Suzuki, Kumiko Usui, Toshikazu Yoshida
    2005 Volume 18 Issue 3 Pages 443-448
    Published: 2005
    Released on J-STAGE: August 09, 2005
    JOURNAL FREE ACCESS
    A new resolution enhancement method named SPLIT (Superimposition of Phase-shifting Layer for Image Transformation) is proposed. In the new method, top-layer resist patterns act as clear phase shifters for bottom-layer exposure. Since the phase shifters are closely contacted on the bottom-layer resist, very fine patterns are printed just under edges of top-layer shifter patterns. Theoretical resolution limit is infinitely small if the bottom-layer resist is infinitely thin. Using THMR iP3300 and KRF-M60G as the top- and the bottom-layer resists, feasibility of the new method is investigated. The top-layer shifter patterns are printed by projection exposure using blue light with a wavelength of 480 nm. The bottom layer is exposed to flood UV light with a wavelength of and 254 nm. As a result, 130-nm line pairs with a pitch of 240 nm are successfully printed.
    Download PDF (543K)
  • Shu Seki, Satoshi Tsukuda, Seiichi Tagawa, Masaki Sugimoto
    2005 Volume 18 Issue 3 Pages 449-450
    Published: 2005
    Released on J-STAGE: August 09, 2005
    JOURNAL FREE ACCESS
    Download PDF (201K)
feedback
Top