Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
Volume 20, Issue 3
Displaying 1-21 of 21 articles from this issue
  • Hiroshi Ito
    2007 Volume 20 Issue 3 Pages 319-332
    Published: 2007
    Released on J-STAGE: September 15, 2007
    JOURNAL FREE ACCESS
    It has been a little more than a quarter century since chemical amplification resists were invented. They achieve a high sensitivity through a catalytic action of a photochemically generated acid. This drastically novel imaging concept was considered laboratory curiosity initially. However, the very first chemically amplified tBOC resist was quickly implemented in mass production of 1 megabit dynamic random access memory devices by deep UV lithography at IBM. Since then the chemical amplification concept has become the paradigm of advanced resist systems, enabling the industry to shift to shorter wavelengths (from 365 to 248, and then to 193 nm) for higher resolution and to follow the Moore's law. The chemical amplification resist invented for 1 μm resolution can now resolve 30 nm equal line/space patterns and continues to play a pivotal role in microlithography in the foreseeable future. This paper describes the inception and advancement of chemical amplification resists.
    Download PDF (1530K)
  • Hideaki Tsubaki, Tsukasa Yamanaka, Fumiyuki Nishiyama, Koji Shitabatak ...
    2007 Volume 20 Issue 3 Pages 333-338
    Published: 2007
    Released on J-STAGE: September 15, 2007
    JOURNAL FREE ACCESS
    It is generally believed that the chemically amplified reaction between photo-generated acid catalyst and acid labile group of polymer during post-exposure bake (PEB) process plays a critical role for the reduction of line width roughness (LWR) in ArF lithography. In this work, we revealed experimentally how large the chemically amplified reaction affects LWR, and developed a new resist system with low LWR. Aerial image contrast dependence on LWR revealed that the innate LWR in a conventional ArF photoresist, which is independent of the aerial image contrast, was 5 nm. Surface roughness of a non-patterned resist film at half-exposed area, which was well correlated with LWR, was measured by AFM. The surface roughness increased from 1.7 nm to 10.8 nm during PEB process. The half-exposed area was baked and again dissolved into organic solution, and spin-coated on Si wafer, and then developed with 2.38 % TMAH solution. The recoated half-exposed resist film caused a 60 % reduction on the surface roughness. It revealed that uniform distribution of deblocked polymer was important factor for roughness reduction. HPLC analysis indicated that distribution of acidic group formulation in the polymer was gradually extended with increasing exposure dose. A Resist system that suppresses the chemically amplified reaction successfully reduced LWR from 6.5 nm to 4.8 nm.
    Download PDF (398K)
  • Douglas J. Guerrero, Joyce Lowes, Ramil Mercado
    2007 Volume 20 Issue 3 Pages 339-343
    Published: 2007
    Released on J-STAGE: September 15, 2007
    JOURNAL FREE ACCESS
    Organic materials are routinely coated on semiconductor substrates for various photolithographic processes. The cleanliness of a substrate after being coated with a bottom anti-reflective coating (BARC), photoresist, or both was studied using contact angle measurement, atomic force microscopy (AFM), and ellipsometry. The relationship between the various methods was correlated. It was found that all organic materials leave a monolayer of residue. These materials have higher affinity to silicon than for silicon oxide substrates.
    Download PDF (396K)
  • Michael J. May, Semir Derrough, Arnaud Bazin, Bénédicte ...
    2007 Volume 20 Issue 3 Pages 345-352
    Published: 2007
    Released on J-STAGE: September 15, 2007
    JOURNAL FREE ACCESS
    A 193 nm negative tone resist has been studied in order to estimate its possible use for the 45 nm node. Therefore, its etch resistance versus a 193 nm positive tone model resist has been analysed and its resolution limit determined by 193 nm interferometric immersion lithography. More recently, due to the chemical composition of the negative tone resist, it has been of interest to use it for thin film implant and some preliminary results will be given.
    Download PDF (644K)
  • Tsuneo Yamashita, Takashi Hayami, Takuji Ishikawa, Takashi Kanemaru, H ...
    2007 Volume 20 Issue 3 Pages 353-358
    Published: 2007
    Released on J-STAGE: September 15, 2007
    JOURNAL FREE ACCESS
    Implant lithography, which has up to now utilized 365-nm (i-line) and 248-nm (KrF) light sources, must now turn to 193-nm (ArF) sources. In implant lithography, an anti-reflective material is often used to coat the resist-film. The top anti-reflective coating (abbreviated to TARC) is most often used to reduce CD swing. TARC materials must have low refractive index and water solubility. The TARC materials for used 193-nm use must have very low reflective index and alternatives to perfluorooctylsulfonic acid (PFOS) and perfluorooctanoic acid (PFOA) must be found. We synthesized some novel fluorinated amorphous polymers as 193-nm TARC candidates. Their fundamental properties were characterized, such as transparency and reflective index at 193-nm (wavelength) along with their solubility in water and a standard alkaline developer. High transparency, i.e., k value less than 0.01, and very low reflective index, i.e., lower than n=1.4 at 193-nm wavelength are confirmed. Their dissolution behaviors are studied using the Quartz Crystal Microbalance (QCM) method. In surprise finding, we find that several of the polymers examined, those that have high fluorine content, dissolved in water. Test results show that the proposed polymers can be applied as top anti reflective coatings.
    Download PDF (492K)
  • Francis Houlihan, Alberto Dioses, Medhat Toukhy, Andrew Romano, Joseph ...
    2007 Volume 20 Issue 3 Pages 359-364
    Published: 2007
    Released on J-STAGE: September 15, 2007
    JOURNAL FREE ACCESS
    Our approach towards a second generation radiation sensitive developable bottom antireflective coating (DBARC's) for 193 nm and its use with different implant resist resin types will be discussed. Ion beam implant resistance (As implantation 15 KeV at 5X1015 dose with 20X10-4 amp) and imaging results (1:1 L/S features down to 140 nm) will be shown comparing implant resist materials based upon a fluorinated resins or alicyclic/acrylate resins. Surprisingly, we found that the non-fluorinated materials gave better implant resistance (∼2-3 X1011 atoms/cm2) despite the higher atomic number of fluorine compared to hydrogen in the fluorinated implant materials (∼2-5X1012 atoms/cm2). Finally, an update on the current lithographic performance of the acrylate/alicylic implant resist on second generation DBARC will be discussed.
    Download PDF (584K)
  • Takeo Ishibashi, Yoshiharu Ono, Atsumi Yamaguchi, Sachiko Ogawa, Tetsu ...
    2007 Volume 20 Issue 3 Pages 365-372
    Published: 2007
    Released on J-STAGE: September 15, 2007
    JOURNAL FREE ACCESS
    In this article, we present a novel high-performance multi-layer resist (MLR) process that uses a spin-on carbon (SOC) hard mask (HM). In the process, a technique involving the implantation of ions into the SOC just after coating was employed. B, P, Ar, and As were implanted in order to compare the degrees of hardening for the same dose amount (1E+15) and equivalent mean projected range Rp (ca. 75 nm); the parameters were controlled by dose energy for each ion. The results indicated that heavier ions with high dose energy showed higher hardening efficiency. The hardening depth in the SOC film approximately corresponded to the mean projected range (Rp). These findings were consistent with the physics of ion implantation, in which elastic and inelastic collisions between ions and target atoms and electrons are considered. As a next step, we attempted to apply this process to form ultra-thin lines using the implantation of Ar ions as an inert species. We confirmed that by using this system, the fundamental lithographic performance obtained was equivalent to that obtained by using the conventional MLR system. Furthermore, excellent etching performance was obtained when the implantation was carried out at higher dose energies. Accordingly, the formation of ultra-thin lines (ca. 25 nm) was successfully achieved by tuning the hardening condition.
    Download PDF (1541K)
  • Takeo Watanabe, Hiroo Kinoshita
    2007 Volume 20 Issue 3 Pages 373-382
    Published: 2007
    Released on J-STAGE: September 15, 2007
    JOURNAL FREE ACCESS
    It is reviewed that research and development of resist for extreme ultraviolet lithographic in University of Hyogo. It is focused on the mitigation of the development of high sensitive and low line edge roughness EUV resist. To achieve high sensitivity, it is found that cyclo(1,3-per-fluoropropanedisulfone) imidate employed as an anion of PAG is beneficial in EUV exposure to achieve high sensitivity. To achieve low LER and high sensitivity simultaneously, PAG bonded resist is proposed. We demonstrate Eo sensitivity of higher than 2 mJ/cm2 under EUV exposure. PAG bonded resist which has LER of around 2.0 nm and 6.8 μC/c2 are demonstrated. Furthermore, design concept of low outgassing resist is introduced.
    Download PDF (939K)
  • A. M. Goethal, R. Jockheere, C. F. Lurusso, J. Hermans, F. Van Roey, A ...
    2007 Volume 20 Issue 3 Pages 383-392
    Published: 2007
    Released on J-STAGE: September 15, 2007
    JOURNAL FREE ACCESS
    IMEC has started an EUV lithography research program based on ASMLs EUV full field scanner, the Alpha Demo Tool (ADT). The intent of this program is to help improve and establish the necessary mask and resist infrastructure, and achieve learning to prepare for the use of EUV lithography in future production of integrated circuits. The program focuses on three main projects: EUV resists, EUV reticles and assessment of the ADT performance. In this paper, the status and the progress of each of the projects is reviewed. In preparation for a resist process for the ADT, interference lithography has been used to track the progress of resist performance. Good progress in resist performance is illustrated by the ability of some materials to resolve 25nm HP. In its initial phase, the reticle project has concentrated on working with the mask and blank suppliers to assure timely availability of reticles for the ADT. An overview is given of reticle related activities, as well as first results of a defect printability study by simulation. In the ADT assessment project, simulation studies are reported aimed at the development of optical correction for flare and reticle shadowing effects. The impact of flare and shadowing effects are well understood and strategies for flare mitigation and shadowing effect correction are proposed.
    Download PDF (2055K)
  • Kim R. Dean, Gregory Debeaux, Andrea Wüest, Rashi Garg
    2007 Volume 20 Issue 3 Pages 393-402
    Published: 2007
    Released on J-STAGE: September 15, 2007
    JOURNAL FREE ACCESS
    Optics contamination is a concern for extreme ultraviolet (EUV) lithography. To protect EUV optics, all materials used in EUV vacuum exposure chambers must be screened prior to use. This paper will briefly describe the methods used to measure resist outgassing and list the most frequently encountered outgassing species of current EUV resists. The first attempts to implement witness plate experiments show that the difference between the resist and the control samples is not substantial and that the Si-capped mirrors are not stable. Actual contamination of microexposure tool illumination optics and masks is described, but the source of the contamination has not yet been determined. Although the materials of construction or resist could cause contamination, resist is probably not the source in this case because of the differential pumping of the exposure chamber and the distance from the resist-coated wafer to the illumination optics and mask.
    Download PDF (1319K)
  • Hiroaki Oizumi, Yuusuke Tanaka, Takaaki Kumise, Daiju Shiono, Taku Hir ...
    2007 Volume 20 Issue 3 Pages 403-410
    Published: 2007
    Released on J-STAGE: September 15, 2007
    JOURNAL FREE ACCESS
    We designed and synthesized a new partially-protected polyphenol, 25X-MBSA-M, for which the position and number of protected hydroxyl groups have no dispersion, and evaluated the EUV patterning performance of a chemically amplified positive-tone resist based on it. EUV imaging experiments were performed using the high-numerical-aperture (NA = 0.3), small-field EUV exposure tool (HINA) at ASET and coherent illumination (σ = 0.0). Patterning results showed the resolution of the resist to be 28 nm, the obtainable aspect ratio to be as high as 2, pattern collapse was markedly suppressed. The line-edge roughness (LER) to be small, with 3σ being 3.9 nm for 35-nm line-and-space patterns at an EUV exposure dose of 12.2 mJ/cm2, and an inspection length, L, of 2000 nm. In addition, we evaluated outgassing of the molecular resist during EUV exposure. The order of outgassing rate of the new molecular resist was almost as same as that of a conventional polymeric PHS-based resist.
    Download PDF (741K)
  • James W. Thakerlay, Roger A. Nassar, Kathleen Spear-Alfonso, Robert Br ...
    2007 Volume 20 Issue 3 Pages 411-418
    Published: 2007
    Released on J-STAGE: September 15, 2007
    JOURNAL FREE ACCESS
    We have investigated a number of key resist factors using EUV lithography including activation energy of deprotection, and acid diffusion length. Our standard high activation resist material, MET-2D (XP5271F), is capable of robust performance at CDs in 40 nm regime and thicknesses above 100 nm. Below 100 nm film thickness, controlling acid diffusion becomes a difficult challenge. We have also developed a low activation resist (XP6305G) which shows superior process window and exposure latitude at CDs in the 35 nm regime. This resist is optimal for 80 nm film thickness. Lastly, we have demonstrated 25 nm 1:1 resolution capability using a novel chemical amplification resist called XP6627. This is the first EUV resist capable of 25 nm resolution. The LER is also very low, 2.7 nm 3σ, for the 25 nm features. Our first version, XP6627G, has a photospeed of 40 mJ/cm2. Our second version, XP6627Q, has a photospeed of 27 mJ/cm2. Our current focus is on improving the photospeed to less than 20 mJ/cm2. The outstanding resolution and LER of this new resist system raises the possibility of extending chemically amplified resist to the 22 nm node.
    Download PDF (1382K)
  • Yasuyuki Fukushima, Takeo Watanabe, Ryuji Ohnishi, Hiroo Kinoshita, Hi ...
    2007 Volume 20 Issue 3 Pages 419-422
    Published: 2007
    Released on J-STAGE: September 15, 2007
    JOURNAL FREE ACCESS
    We developed and evaluated PAG bonded resist which is chemically amplified (CA) resist to reduce line edge roughness (LER) in extreme ultraviolet lithography (EUVL). Under electron beam (EB) exposure, it is compared that LER and resolution of photo acid generator (PAG) bonded resist and PAG blended resist which using same PAG and base polymer. It was found that LER and resolution of PAG bonded resist are better than that of PAG blended resist. LER of 3.5 nm in 75 nm L/S and resolution of 25 nm space were achieved. Under EUV exposure, sensitivity of 5.0 mJ/cm2 is achieved.
    Download PDF (418K)
  • Daisuke Shimizu, Ken Maruyama, Akio Saitou, Toshiyuki Kai, Tsutomu Shi ...
    2007 Volume 20 Issue 3 Pages 423-428
    Published: 2007
    Released on J-STAGE: September 15, 2007
    JOURNAL FREE ACCESS
    For next generation lithography, several technologies have been proposed to achieve the ultra-fine patterning required for the 32nm node and beyond. Extreme ultraviolet (EUV) lithography is one of the promising candidates but faces several critical issues with resist performance. Line width roughness (LWR) is regarded as one of the major issues to be improved to ensure device reliability and performance. One direction for decreasing LWR is to reformulate current polymer based resist. The other direction is to apply new materials such as molecular glass and polymer bound photoacid generators (PAG). In this study, the performance of EUV resist based on more traditional polymers as well as molecular glass have been investigated. In the case of polymer-based resist, it was found that resist based on partially protected polyhydroxystyrene with an acid labile group had the potential to resolve 26nm line and space patterns by using PAG with short acid diffusion length. Further optimization of not only resist formulation, but also process condition is necessary to improve performance. It was found that the resist based on new molecular glass, Noria which was partially protected with an acid labile group resolved 28nm line and space patterns. The optimization of resist formulation using protected Noira is on-going.
    Download PDF (501K)
  • Kyoko Kojima, Shigeki Mori, Daiju Shiono, Hideo Hada, Junichi Onodera
    2007 Volume 20 Issue 3 Pages 429-436
    Published: 2007
    Released on J-STAGE: September 15, 2007
    JOURNAL FREE ACCESS
    In order to enable design of a negative-tone polyphenol resist using polarity-change reaction, five resist compounds (3M6C-MBSA-BLs) with different number of a functional group of γ-hydroxycarboxyl acid were prepared and evaluated by EB lithography. The resist using a mono-protected compound (3M6C-MBSA-BL1a) showed 40-nm hp resolution at an improved dose of 52 μC/cm2 probably due to removal of a non-protected polyphenol while the sensitivity of the resist using a compound of protected ratio of 1.1 on average with distribution of different protected ratio was 72 μC/cm2. A di-protected polyphenol was synthesized by a new synthetic route of 3-steps reaction, which is well-suited for mass production of the molecular resist compounds. The resist using di-protected compound (3M6C-MBSA-BL2b) showed 40-nm hp resolution at a dose of 40 μC/cm2, which was faster than that of mono-protected resist. Fundamental EUV lithographic evaluation of the resist using 3M6C-MBSA-BL2b by an EUV open frame exposure tool (EUVES-7000) gave its estimated optimum sensitivity of 7 mJ/cm2 and a proof of fine development behavior without any swelling.
    Download PDF (622K)
  • Seiya Masuda, Shinji Tarutani, Sou Kamimura, Shuuji Hirano, Wataru Hos ...
    2007 Volume 20 Issue 3 Pages 437-444
    Published: 2007
    Released on J-STAGE: September 15, 2007
    JOURNAL FREE ACCESS
    The continuous studies for both the outgassing reduction and the sensitivity improvement by applying low outgassing photo acid generator with a various kinds of polymer protection group were discussed in this paper. Further reduction of the outgassing segments from the resist was demonstrated to achieve the total outgassing amount below the detection limit of GC-MS (ca. less than 1X1010 molecules / cm2). Loading a large sized acetal group could be successfully reduced the amount of the outgassing segments from polymer below the tool detection limit, which would be acceptable for a high volume manufacturing tool usage. The development properties of poly(hydroxyl styrene) (PHS) based bulky acetal polymers were measured by changing molecular weight. The high dissolution rate contrast was obtained with the bulky acetel protected low molecular weight polymer. A resolution capability study was carried out with micro exposure tool (MET) at LBNL and Albany. The correlation between LWR through CD and DOF was measured by loading various amounts of quencher. The resolution capability of newly developed EUV resist had been successfully improved by modifying both resist polymer matrix and quencher amount optimization. It was possible to obtain 27.7nm lines with MET tool, where LWR value at 35 nm L/S was 3.9 nm with reasonable sensitivity range.
    Download PDF (1275K)
  • Shinji Kobayashi, Minoru Toriumi, Julious Joseph Santillan
    2007 Volume 20 Issue 3 Pages 445-451
    Published: 2007
    Released on J-STAGE: September 15, 2007
    JOURNAL FREE ACCESS
    Outgassing evaluations of polyhydroxystyrene (PHS) based chemically amplified EUV positive photoresist was performed. As a result, the strong dependencies of outgassing increase to various process conditions such as pre-bake temperature and time, resist film thickness and pre-exposure retarding time in vacuum were understood. Of these process conditions, the most significant process factor was known to be the variation of pre-bake temperature. It was concluded that the main cause of such variations were the effect of residual solvent in the photoresist film. From a re-analysis of the mass spectrum, outgassing components released during EUV exposure have been observed and classified into two general types of release trends; fast and slow reaction rate components. Fast reaction rate components were assumed to have originated from photoresist photo-acid generator (PAG) (48amu and 64amu). On the other hand, slow reaction rate components assumed to be mostly CxHy, have been speculated to originate from the decomposition of the photoresist protecting group after reaction with the released photoacids of PAG. Based on these results, the further analysis of photoresist outgassing release mechanisms upon EUV exposure was made possible.
    Download PDF (729K)
  • Robert D. Allen
    2007 Volume 20 Issue 3 Pages 453-455
    Published: 2007
    Released on J-STAGE: September 15, 2007
    JOURNAL FREE ACCESS
    The emerging trends in photoresists and advanced patterning materials are described, including functional fluoropolymers, molecular glasses, and imprint resists.
    Download PDF (222K)
  • Sanjay Malik, David Brozozowy, Tom Sarubbi
    2007 Volume 20 Issue 3 Pages 457-463
    Published: 2007
    Released on J-STAGE: September 15, 2007
    JOURNAL FREE ACCESS
    Fundamental immersion characteristics such as surface properties and leaching are reported for silicon-based materials. Incorporation of as little as 8% of silicon significantly increases film contact angle compared to single layer materials. Silicon-based materials show sufficiently high film pull velocity compared to non-silicon-based films. A side-chain siloxane-based bilayer did not show any detectable silicon-leaching. The resist meets the ASML specification of PAG leaching rates being below 1.6E-12 mol/cm2-s. No silicon-outgassing was observed upon 193 nm exposure. A bilayer resist, TIS 193IL-PH (B50) demonstrated capability to resolve 65 nm dense line-space patterns with sufficient process latitudes and LER below 3 nm.
    Download PDF (690K)
  • Toshikage Asakura, Hitoshi Yamamoto, Yuichi Nishimae, Masaki Ohwa
    2007 Volume 20 Issue 3 Pages 465-471
    Published: 2007
    Released on J-STAGE: September 15, 2007
    JOURNAL FREE ACCESS
    Recently we have developed and reported some novel non-ionic photoacid generators (PAGs) which generate a strong acid (perfluorobutanesulfonic acid) by light irradiation and is applicable to chemically amplified ArF photoresist, such as 2-[2,2,3,3,4,4,5,5-octafluoro-1-(nonafluorobutylsulfonyloxyimino)-pentyl]-fluorene (ONPF), 2-[2,2,3,3,4,4,4-heptafluoro-1-(nonafluorobutylsulfonyloxyimino)-butyl]-fluorene (HNBF) and so on. Here the lithographic property of ONPF in some ArF model formulations was evaluated under 193 nm dry and immersion exposure comparing one of the most typical ionic PAGs, triphenylsulfonium perfluorobutanesulfonate (TPSPB), on lithographic application-relevant properties, e.g. exposure latitude, line edge roughness (LER) and so on, by top-down view SEM observation. 80 nm line and space (L/S) patterning was successfully conducted. Additionally we investigated the striation issue with ONPF when the matrix polymer of resist was changed. It was revealed that ONPF showed better coating property in a copolymer of γ-butyrolactone methacrylate, 2-ethyladamantyl methacrylate and hydroxyladamantyl methacrylate than in a copolymer of δ-methacryloyloxynorbornane butyrolactone in lactone unit.
    Download PDF (897K)
  • Ichiki Takemoto, Nobuo Ando, Kunishige Edamatsu, Yusuke Fuji, Kazuhiko ...
    2007 Volume 20 Issue 3 Pages 473-480
    Published: 2007
    Released on J-STAGE: September 15, 2007
    JOURNAL FREE ACCESS
    Our recent research effort has been focused on new top coating-free 193nm immersion resists with regard to leaching of the resist components and lithographic performance. We have examined methacrylate-based resins that control the surface properties of ArF resists thin films by surface segregation behavior. For a better understanding of the surface properties of thin films, we have prepared the six resins (Resin 1-6) that have three types fluorine containing monomers, a new monomer (Monomer A), Monomer B and Monomer C, respectively. We blended the base polymer (Resin 0) with Resin (1-6), respectively. We evaluated contact angles, surface properties and lithographic performances of the polymer blend resists. The static and receding contact angles of the resist that contains Resin (1-6) are greater than that of the base polymer (Resin 0) resist. The chemical composition of the surface of blend polymers was investigated with x-ray photoelectron spectroscopy (XPS) and TOF-SIMS with gradient shaving preparations. It is confirmed that there is significant segregation of the fluorine containing resins to the surface of the blend films. We analyzed Quantitative Structure-Property Relationships (QSPR) between the surface properties and the chemical composition of the surface of polymer blend resists. The addition of 10 wt% of the polymer (Resin 1-6) to the base polymer (Resin 0) did not influence the lithographic performance. Consequently, the surface properties of resist thin films can be tailored by the appropriate choice of fluorine containing polymer blends.
    Download PDF (960K)
feedback
Top