Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
Volume 24, Issue 2
Displaying 1-19 of 19 articles from this issue
  • Toshiro Itani
    2011 Volume 24 Issue 2 Pages 111-118
    Published: June 21, 2011
    Released on J-STAGE: July 28, 2011
    JOURNAL FREE ACCESS
    The Selete research and development program was started to prepare extreme ultraviolet (EUV) lithography for the manufacturing of semiconductor devices. In the past five years, Selete has evaluated more than 1000 EUV resists from resist suppliers using the small field exposure tool (SFET) which is linked in-line to a coater / developer track system under chemically controlled environments. From the results obtained, the lithographic performance of various Selete standard resists (SSRs) and optimization of related processes has been reported. Based on these, advancement in resist materials was observed to be of steady progress in terms of resolution limit, sensitivity and line width roughness (LWR). LWR reduction and pattern collapse prevention were also achieved through the application of various alternative processes. One such alternative process is the application of the aqueous solution of tetrabutylammonium hydroxide (TBAH) instead of the conventional tetramethylammonium hydroxide developer. TBAH was found to be most effective in the suppression of resist film swelling which causes pattern collapse. Alternative rinse solutions evaluated and utilized have also allowed improvements in LWR reduction and pattern collapse. Meanwhile, EUV resist outgassing, which is also a concern for the effective application of EUV lithography, was quantified and analyzed. Analysis results have shown that protecting group and photo-acid generator components of EUV resists are the main source of such outgassing during exposure. Moreover, for fundamental research, a new approach using high speed atomic force microscopy in the in situ analysis of resists during the dissolution and rinse processes is presented. The results obtained with this method supported a number of previous assumptions about resist dissolution and rinse mechanisms which presented potential pointers for use in next-generation lithographic applications.
    Download PDF (569K)
  • Hyun-Woo Kim, Hai-Sub Na, Chang-Min Park, Cheolhong Park, Sumin Kim, C ...
    2011 Volume 24 Issue 2 Pages 119-125
    Published: June 21, 2011
    Released on J-STAGE: July 28, 2011
    JOURNAL FREE ACCESS
    Extreme ultra violet (EUV) resists have been developed to be able to print sub-30nm L/S features with EUV alpha DEMO tool (ADT), and several resists start to meet the target for 2X node DRAM application in resolution and sensitivity. However, an overall performance of EUV resists is still not comparable to that of DUV resists. At the same process condition having same process constant (k1), the imaging capability of EUV resists is poorer than that of DUV resists. The most critical issues are line width roughness (LWR) and critical dimension (CD) variation across a field. Although there are many studies to improve the LWR of EUV resist, the issue on CD variation across a field is not much explored, because the problem can be detected at full field exposure. In this paper, sources of the CD variation across a field are mainly investigated, and solutions to improve the CD uniformity are explored. Out of band (OOB) radiation and its reflectivity at REticle MAsking (REMA) unit of scanner or absorber of mask is regarded as one of the sources which aggravates imaging quality of EUV resist. In addition, the optical density of black border at EUV wavelength is also known to have an impact on this CD variation. Therefore, to improve pattern fidelity and LWR of EUV resist, the mitigation of OOB radiation impact is required. It is found that the resist sensitivity to DUV compared to EUV is important, and this property affects on CD uniformity. Furthermore, new material which can mitigate the OOB radiation impact is developed. The resolution limit of current EUV resists is also investigated to see the extendibility of it. At an exposure condition which readily resolves 16nm half pitch (HP) resolution, 20nm resolution is hardly achieved even with a champion resist. To overcome this barrier, more efforts on development of alternative resists are required from today.
    Download PDF (1239K)
  • Gilroy J. Vandentop, E. Steve Putna, Micahel J. Leeson, Todd R. Younki ...
    2011 Volume 24 Issue 2 Pages 127-136
    Published: June 21, 2011
    Released on J-STAGE: July 28, 2011
    JOURNAL FREE ACCESS
    Extreme Ultraviolet (EUV) lithography is a leading technology option for manufacturing at the 22nm half pitch node and beyond. Implementation of the technology will require continued progress on several key supporting infrastructure challenges, including EUV photoresist materials. The main development issue regarding EUV photoresists is simultaneously achieving the high resolution, high sensitivity, and low line width roughness (LWR) required. This paper describes our strategy, the current status of EUV materials, and some integrated post-development LWR reduction efforts. Data collected utilizing Intel′s Micro-Exposure Tool (MET) is presented in order to examine the feasibility of establishing a resist process that simultaneously exhibits ≤22nm half-pitch (HP) L/S resolution at ≤11.3mJ/cm2 with ≤3nm LWR
    Download PDF (1281K)
  • Takahiro Kozawa, Seiichi Tagawa
    2011 Volume 24 Issue 2 Pages 137-142
    Published: June 21, 2011
    Released on J-STAGE: July 28, 2011
    JOURNAL FREE ACCESS
    In chemically amplified extreme ultraviolet (EUV) resists, the thermalization distance of secondary electrons is a major cause of the degradation of the original EUV aerial image and increases the line edge roughness (LER). However, the longer the thermalization distance is, the more acid generators are decomposed. As the acid concentration increases, the acid diffusion length required for the polarity change of resist polymers decreases. With the reduction in diffusion length, the resolution blur induced by acid diffusion is suppressed. In this study, we investigated the optimum thermalization distance for obtaining the best latent image quality. The optimum thermalization distance for 32 nm line-and-space patterns was 7 nm at 10 wt% acid generator (TPS-tf) concentration. The optimum thermalization distance decreased with the half-pitch of line-and-space patterns. For 11 nm half-pitch, the optimum thermalization distance was 3 nm, which is approximately the same as that in the poly(4-hydroxystyrene) matrix.
    Download PDF (971K)
  • Seth Kruger, Craig Higgins, Cregg Gallatin, Robert Brainard
    2011 Volume 24 Issue 2 Pages 143-152
    Published: June 21, 2011
    Released on J-STAGE: July 28, 2011
    JOURNAL FREE ACCESS
    We postulate that the best way to simultaneously improve resolution, line edge roughness (LER), and sensitivity all in EUV resists is to increase the number of acid molecules generated per absorbed photon. In previous work, we showed that acid amplifiers (AAs) decompose auto-catalytically to effectively increase the amount of acid generated from each EUV photon.
    In this paper, we show that AAs can simultaneously give better sensitivity and lower LER to improve Z-Parameter up to 3X compared to resists without AA. Acid amplifiers must be thermally stable to prevent the creation of acid in unexposed regions of the resist film. We use thermally-programmed spectroscopic ellipsometry to measure the decomposition of AAs in resist films and to identify structural features that influence the stability of AAs.
    We also present the construction and utilization of a mathematical model that describes acid diffusion and acid amplifier decomposition in resist films. Using this model, we explored how the acid gradient between exposed and unexposed regions of a resist film is altered as a function of several variables; resist quantum yield, acid and base diffusion, AA and base loading, and AA decomposition kinetics. Out of the variables that were investigated, the model results are in general agreement with experimental results and demonstrate the potential for AAs to simultaneously improve resolution, LER and sensitivity.
    Download PDF (858K)
  • Takuro Urayama, Takeo Watanabe, Yuya Yamaguchi, Naohiro Matsuda, Yasuy ...
    2011 Volume 24 Issue 2 Pages 153-157
    Published: June 21, 2011
    Released on J-STAGE: July 28, 2011
    JOURNAL FREE ACCESS
    EUV interference lithographic exposure tool was developed to evaluate hp 20 nm and below. The transmission diffraction grating with hp 30-nm absorber pattern was succeeded to fabricate. In the fabrication process of the transmission diffraction grating, SiO2 hard mask process and the center stop process were applied to obtain high contrast of the interference fringes to replicate resist fine pattern. In addition, the vibration effect was succeeded to reduce. As results, hp 22.5 nm, hp 20 nm, hp 17.5 nm, and hp 15 nm resist patterns were succeeded to replicate by the two-luminous-flux interference exposure using the two-window transmission diffraction grating in EUV-IL. In addition, the hole pattern of hp 35 nm and hp 28-nm the four-luminous-flux interference exposure using the four-window transmission diffraction grating was succeeded to replicate.
    Download PDF (940K)
  • Jin Goo Yoon, Wang-Cheol Zin, Jeongsik Kim, Jae-Woo Lee, Sung Il Ahn, ...
    2011 Volume 24 Issue 2 Pages 159-164
    Published: June 21, 2011
    Released on J-STAGE: July 28, 2011
    JOURNAL FREE ACCESS
    Vertical electron density (ED) profiles of photoresist film on three different substrates (bare, HMDS-primed, underlayer-coated Si wafer) were obtained using X-ray reflectivity analysis. Small amounts of density fluctuation at each depth of film were analyzed using distorted wave Born approximation fitting. Near the interface, the ED profiles of pure resin on three different substrates differed among substrates. In the bare Si wafer, ED decreased near the interface, whereas ED in the underlayer-coated Si wafer ED was homogeneous. These ED distributions near the interface were strongly dependent on the interfacial energy between resin and substrate. The approximate distributions of photoacid generators (PAGs) were obtained from the comparison of the ED distributions of pure resin and of photoresist containing PAG. When PAG was introduced, the ED profiles showed comparatively uniform increase. However, near the interface, the bare Si wafer showed a large depletion in ED, whereas the underlayer-coated Si wafer showed homogeneous ED. PAG distribution inside photoresist film was affected by both the ED distribution of pure resin and by the interaction between PAG and the substrate.
    Download PDF (1002K)
  • Tsuneo Yamashita, Masamichi Morita, Yoshito Tanaka, Jullius Joseph San ...
    2011 Volume 24 Issue 2 Pages 165-172
    Published: June 21, 2011
    Released on J-STAGE: July 28, 2011
    JOURNAL FREE ACCESS
    There is a growing interest in the fluorinization of resist materials in improving pattern formation efficiency for extreme ultraviolet (EUV) lithography. The increased polymer absorption coefficient obtained through this resist platform is expected to enhance acid production and in effect improve pattern formation efficiency. Our work over the past several years has shown that the main-chain fluorinated base resins realized by the co-polymerization of tetrafluoroethylene (TFE) and norbornene derivatives offer high dissolution rates. Based on this, a EUV resist which was prepared using the fluorinated polymers was investigated. Imaging evaluations, using the small field exposure tool (SFET by Canon / EUVA) with annular (σ outer 0.7 / σ inner 0.3) illumination conditions were performed. Relatively high sensitivity of 6.3mJ⋅cm-2 for half-pitch (hp) 45nm and satisfactory resolution limit of hp 40nm was achieved. At present, line width roughness (LWR) was measured at comparatively large values of more than 8.4nm at hp 45nm. This shows that further material and process optimizations may be necessary to improve its present lithographic capability. However, these initial results have shown the potential of fluorinated-polymer based platform as a possible solution for high sensitivity, high resolution and low LWR EUV resists. In this paper, we report recent results of high sensitivity of 5.1mJ?cm-2 for half-pitch (hp) 40nm, optimization of protecting groups and photo acid generators.
    Download PDF (899K)
  • Yukiko Kikuchi
    2011 Volume 24 Issue 2 Pages 173-178
    Published: June 21, 2011
    Released on J-STAGE: July 28, 2011
    JOURNAL FREE ACCESS
    The potentiality of line width roughness (LWR) reduction by ion implantation (I/I) in the extreme ultra violet (EUV) lithography resist pattern was studied. The Argon ions were implanted to the Line-and-Space (L/S) pattern of EUV resist with changing ion energy, dose and incident angle. The LWR and line width of 32 nm half-pitch L/S pattern was evaluated after development, after I/I and after dry etching of the experimental thin hard mask beneath the resist pattern. The LWR of 4.2 nm 3 &sigme;, corresponding to the reduction of 1.6 nm, was obtained for resist after I/I with relatively low energy condition of 1-5 keV. On the other hand, the best value of LWR after hard mask dry etching was 3.6 nm 3σ with I/I energy of 15 keV. It was found that the change of hydrogen content of resist by I/I can be important for the improvement of LWR including etching process.
    Download PDF (885K)
  • James W. Thackeray, Vipul Jain Jain, Suzanne Coley, Matthew Christians ...
    2011 Volume 24 Issue 2 Pages 179-183
    Published: June 21, 2011
    Released on J-STAGE: July 28, 2011
    JOURNAL FREE ACCESS
    This paper discusses the continued evolution of Polymer-bound PAG [PBP] resists for sub-20nm lithography. Utilizing EUV wavelength, there has been enough progress in resolution and sensitivity to justify the use of these materials. PBP resists have shown that the principal demerit of acid diffusion can be overcome through attachment of the PAG anion to the lithographic polymer. Since the introduction of this chemically amplified resist approach, we have seen steady improvement in resolution, sensitivity, and LWR. Using a 0.30NA EUV tool with dipole, we can achieve 22nm hp resolution, with 12mJ dose, and 4.2nm LWR. Through rational PAG cation design, we have substantially reduced to a factor of 1/10th the PBP resist response to Out-of- Band Radiation compared to a TPS-based PBP. In this way, the resist can act as in situ spectral filter for unwanted longer wavelength exposure.
    Download PDF (324K)
  • Shinji Tarutani, Hiroshi Tamaoki, Hideaki Tsubaki, Toshiya Takahashi, ...
    2011 Volume 24 Issue 2 Pages 185-191
    Published: June 21, 2011
    Released on J-STAGE: July 28, 2011
    JOURNAL FREE ACCESS
    Blurs, swelling properties and lithographic performance for polymer bound PAG and polymer PAG blended type resists were studied. A Blur strongly depends on PAG size and the polymer bound PAG type resist reduces the Blur. The Blur for the polymer bound PAG type resist is smaller than that for ZEP (non CAR). The fact indicates that polymer bound PAG should reduce secondary electron diffusion. The polymer bound PAG type resist acquires very small Blur with higher sensitivity and suppresses swelling very well, therefore polymer bound PAG is one of the promising technologies that improve resolution, LWR and sensitivity (RLS) property. RLS property on EUV exposure tool is significantly improved by using the polymer bound PAG type resist. Resolution reaches 24 nmhp and is limited by pattern collapse and line breaking. Further lithographic experiments on EB exposure tool which has higher NILS than EUV exposure tool are carried out in order to make clear relation between Blur and resolution. The resolution of the polymer bound PAG type resist reaches 17.5 nmhp with 35 nm thickness and there is possibility that the resolution of an optimized polymer bound PAG type resist reaches under 15 nmhp. The resolution of the resist with lower capillary force (C.F.) given and lower swelling and on higher NILS exposure tool strongly depends on the Blur.
    Download PDF (610K)
  • Warren Monttomery, Brian Rice, Richard Brilla, Michael Liehr, Michael ...
    2011 Volume 24 Issue 2 Pages 193-198
    Published: June 21, 2011
    Released on J-STAGE: July 28, 2011
    JOURNAL FREE ACCESS
    There has been a great deal of activity in the last few years focused on EUV materials development. Many new lithographic imaging materials have been developed, and new approaches to characterizing those materials have been utilized. But, without a target market and without lithographic processing capability, none of this would be necessary or possible, respectively.
    In this paper, we will present the model that has been developed at the College of Nanoscale Science and Engineering′s (CNSE) Albany NanoTech campus Complex (leveraging access to the Advanced Light Source at Lawrence Berkeley National Laboratory (LBNL)) by virtue of the CNSE/SEMATECH (NY State funded) public-private partnership engagement at the State University of New York at Albany (USUNY Albany). This model is important because it offers a way for companies in need of EUV photons to avoid purchasing an EUV exposure system.
    We will also talk about recent tooling upgrades planned for the facility complex, and highlight a couple CNSE projects that are helping to enable EUV introduction.
    EUV introduction has driven a number of paradigm changes; for example, imaging a reflective mask, exposing photoresist in a vacuum environment, and the advent of a single supplier imaging tool.
    Download PDF (7147K)
  • Takeo Shioya, Ken Maruyama, Tooru Kimura
    2011 Volume 24 Issue 2 Pages 199-204
    Published: June 21, 2011
    Released on J-STAGE: July 28, 2011
    JOURNAL FREE ACCESS
    Extreme Ultra-Violet (EUV) lithography is considered as one of the most promising candidates for next generation lithography (NGL) that can print sub-20nm half pitch. In order to implement EUV technology for actual device production, resist material is one of the critical items that require significant improvement in overall performance. Current most challenging technical requirement is the simultaneous improvement in resolution (R), line width roughness (L) and sensitivity (S). In order to achieve this requirement, many research groups are developing novel materials such as molecular glass[1],[2], polymer bound photo-acid generator (PAG)[3], high quantum yield PAG[4], sensitizer[5] and high absorption resin[6].
    In this study, we focused on innovative PAG material development through the investigation of PAG acid diffusion length and PAG anion structure. Also, novel molecular resist consisting of protected Noria has been developed and its feasibility demonstrated.
    As the results of this study, it was found that PAG plays key function in order to achieve simultaneous RLS improvement. Additionally, it turned out that molecular resist protected Noria has high potential towards sub-20nm resolution. This study is hoped to contribute to the EUV resist development at sub-20nm half pitch generation.
    Download PDF (981K)
  • Masayuki Endo, Seiichi Tagawa
    2011 Volume 24 Issue 2 Pages 205-210
    Published: June 21, 2011
    Released on J-STAGE: July 28, 2011
    JOURNAL FREE ACCESS
    We have investigated the reactivity of photoacid generators for extreme ultraviolet (EUV) exposure. Quantum chemical calculation was performed. As the secondary electrons from the polymer in a resist cause the reaction of the photoacid generator, the reactivity of the photoacid generator is determined as its electron affinity. We optimized the molecular structure of the photoacid generator and calculated the electron affinity of the photoacid generator. Through the calculation of the electron affinity and population analysis of added electrons, we found that incorporation of electron withdrawing group increases the reactivity of photoacid generators.
    Download PDF (557K)
  • Young C. Bae, Seung-Hyun Lee, Rosemary Bell, Jong Keun Park, Thomas Ca ...
    2011 Volume 24 Issue 2 Pages 211-217
    Published: June 21, 2011
    Released on J-STAGE: July 28, 2011
    JOURNAL FREE ACCESS
    The effect of molecular weights of 193nm resist polymers on the negative tone development (NTD) process was studied in three different solvent developers. By optimizing the polymer structures for the NTD process, it was possible to improve the dissolution rate of 193 nm resist polymers in the NTD process to give higher dissolution rate contrasts in a wide range of molecular weights. Contrary to the conventional positive tone development process, the NTD process yielded faster photospeeds with higher molecular weights. It was also found that the critical dimension uniformity (CDU) of 193 nm resists can be optimized in the NTD process by optimizing the molecular weights of the resist polymers. The optimum Mw for the best CDU was different for different developers and could be different for different resist formulations. In addition to the resist polymers and developers, it will be necessary to optimize other imaging materials for the NTD process in order to maximize the full benefits of the NTD process.
    Download PDF (1968K)
  • Kazuyuki Kasahara, Yusuke Anno, Motoyuki Shima
    2011 Volume 24 Issue 2 Pages 219-225
    Published: June 21, 2011
    Released on J-STAGE: July 28, 2011
    JOURNAL FREE ACCESS
    ArF immersion process is being widely applied for advanced device manufacturing. ArF immersion lithography can cover 4x nm hp lithography. In addition, double patterning process allows extendibility towards 2x nm hp lithography. From the point of view of photoresist, it is utterly important for materials to bring out the maximum performance of ArF immersion process. In this study, we focused on photoresist development and substrate optimization, demonstrating good lithographic performance of sub-40nm hp resolution with well controlled line width roughness (LWR).
    First of all, we studied photo acid generator (PAG) behavior focusing on shortening acid diffusion length during Post Exposure Bake (PEB). Secondly, high dissolution contrast polymers in which dissolution properties are changed by acid catalyzed reaction through exposure and PEB are being studied. Thirdly, reflectivity control from substrate through optimization of light intensity over resist film thickness has been carried out. In this report, we have achieved 36.5nm line and space (LS) resolution with LWR of less than 10% of patterned critical dimension (CD). This achievement is very useful especially when utilized in combination with process tricks such as multiple patterning for extending existing ArF immersion lithography towards sub-20nm hp lithography.
    Download PDF (836K)
  • Shinji Furutani, Sou Kamimura, Kana Fujii, Keita Katou, Yuuichirou Eno ...
    2011 Volume 24 Issue 2 Pages 227-232
    Published: June 21, 2011
    Released on J-STAGE: July 28, 2011
    JOURNAL FREE ACCESS
    High volume manufacturing capability of negative tone development (NTD) process were discussed in viewpoint of lithography performance, necessary developing time impacting throughput, pattern defectivity, and CD-uniformity (CDU). Dense C/H pattern lithographic performances of the latest resist materials dedicated NTD process were introduced, and the design strategy of these resists were discussed. Best condition of development time was fixed with the study on development time dependences on CD-uniformity and defectivity. Throughput performance of NTD was discussed with the necessary development time. Pattern defectivity studies and CDU studies were carried out on L/S pattern and C/H pattern.
    Download PDF (1653K)
  • Gustaf Winroth, Roel Gronheid, Chua Lin, Katsumi Neishi, Ryota Harukaw ...
    2011 Volume 24 Issue 2 Pages 233-238
    Published: June 21, 2011
    Released on J-STAGE: July 28, 2011
    JOURNAL FREE ACCESS
    As advanced lithographical techniques progressively reach denser pitches, pattern collapse becomes increasingly an issue owing to the capillary forces of the receding liquid present at the development and rinse. Process control and development require a reliable investigation method to be able to detect and quantify pattern collapse with the requirement of being non-invasive for resist structures while maintaining a high throughput. In this paper we present an inspection method based on an optical defectivity detection tool and specially designed lithographic structures to maximize the probability of pattern collapse. Collapse quantification and wafer signatures are presented along with extensive SEM review such as to validate the inspection method.
    Download PDF (820K)
  • Christine Y. Quyang, Jin-Kyun Lee, Christopher K. Ober
    2011 Volume 24 Issue 2 Pages 239-240
    Published: June 21, 2011
    Released on J-STAGE: July 28, 2011
    JOURNAL FREE ACCESS
    Download PDF (251K)
feedback
Top