Journal of Photopolymer Science and Technology
Online ISSN : 1349-6336
Print ISSN : 0914-9244
ISSN-L : 0914-9244
Volume 23, Issue 2
Displaying 1-27 of 27 articles from this issue
  • Joan K. Bosworth, Elizabeth Dobisz, Ricardo Ruiz
    2010 Volume 23 Issue 2 Pages 145-148
    Published: June 22, 2010
    Released on J-STAGE: August 05, 2010
    JOURNAL FREE ACCESS
    Download PDF (914K)
  • Guoliang Liu, Huiman Kang, Gordon S. W. Craig, Francois Detcheverry, J ...
    2010 Volume 23 Issue 2 Pages 149-154
    Published: June 22, 2010
    Released on J-STAGE: August 05, 2010
    JOURNAL FREE ACCESS
    We have developed a method of using Ar ion milling and SEM to visualize the cross section of blockcopolymer films that were 50 nm thick. Directed assembly of lamellae-forming PS-b-PMMA on one-to-one and two-to-one chemical patterns was studied by both experiment and molecular simulation. The density multiplied PMMA domains were distorted and the PS domains had a 'U-shaped' structure on the substrate.
    Download PDF (757K)
  • Yoshihito Ishida, Yasuhiko Tada, Tomoyasu Hirai, Raita Goseki, Masa-ak ...
    2010 Volume 23 Issue 2 Pages 155-159
    Published: June 22, 2010
    Released on J-STAGE: August 05, 2010
    JOURNAL FREE ACCESS
    Download PDF (787K)
  • Kazuhiko Ishihara, Masayuki Kyomoto
    2010 Volume 23 Issue 2 Pages 161-166
    Published: June 22, 2010
    Released on J-STAGE: August 05, 2010
    JOURNAL FREE ACCESS
    We reported the fabrication of a highly hydrophilic nanometer-scale modified surface on the inert polymer substrate by photo-induced graft polymerization of 2-methacryloyloxyethyl phosphorylcholine (MPC) to obtain biocompatible surfaces. As substrates, poly(ether-ether-ketone) (PEEK) were used. Photoinduced radical formation on the substrate could be realized without any photosensitizer because of the benzophenone units in PEEK molecular structure, which acts as a photo-initiator during the graft polymerization. The poly(MPC)(PMPC)-grafted PEEK surface fabricated by a novel and simple polymerization system exhibited unique characteristics such as high wettability and high anti-protein adsorption, which makes it highly suitable for medical applications.
    Download PDF (1069K)
  • S. Iguchi, M. K. Chu, D. Takahashi, T. Arakawa, H. Kudo, K. Mitsubayas ...
    2010 Volume 23 Issue 2 Pages 167-170
    Published: June 22, 2010
    Released on J-STAGE: August 05, 2010
    JOURNAL FREE ACCESS
    Download PDF (650K)
  • Jun Nakanishi
    2010 Volume 23 Issue 2 Pages 171-172
    Published: June 22, 2010
    Released on J-STAGE: August 05, 2010
    JOURNAL FREE ACCESS
    Activities of the cells are regulated by extracellular cues, including soluble factors, surrounding cells and extracellular matrices. This paper describes photoresponsive substrates and nanoparticles that enable us to control the extracellular cues both in time and space. Arraying heterotypic cells and their induction of migration and proliferation have been accomplished by physical or chemical adsorption of blocking agents to the photoresponsive substrates. Photo-induced production of biological active substances has become possible by tethering them to photoresponsive nanoparticles. These materials provide new methodology for engineering and exploring cellular functions.
    Download PDF (331K)
  • Martin Glodde, Sen Liu, Pushkara Raoi Varanasi
    2010 Volume 23 Issue 2 Pages 173-184
    Published: June 22, 2010
    Released on J-STAGE: August 05, 2010
    JOURNAL FREE ACCESS
    We are introducing a new family of fluorine-free photoacid generators (PAGs) for use in 193 nm lithography. These PAGs are based on percyano-substituted cyclopentadienide anions and do not contain sulfonate groups. PAGs with these weakly coordinating anions generate photoacids of a similar strength as perfluroalkylsulfonic acids. Triphenylsulfonium pentacyanocyclopentadienide (TPS CN5) can be synthesized from inexpensive precursors, is stable in the presence of strong acids, has a low optical density at 193 nm and is well soluble in typical resist solvents. The performance of model resists formulated with TPS CN5 approaches that of commercially offered photoresists for the 32 nm node.
    Download PDF (872K)
  • Patrick Wong, Roel Gronheid, Vincent Wiaux, Alessandro Vaglio Pret, St ...
    2010 Volume 23 Issue 2 Pages 185-191
    Published: June 22, 2010
    Released on J-STAGE: August 05, 2010
    JOURNAL FREE ACCESS
    Various material approaches for more cost-effective double patterning have been proposed during the past few years. Resolution capabilities of these approaches using dipole illumination are documented in literature. In this paper it is investigated whether these novel materials may also be applied for printing of more random structures at the 32nm half pitch using annular illumination. As expected process windows with more relaxed illumination conditions are observed to decrease. The CD bias of Litho1 features throughout the double patterning process is observed to vary more under annular illumination compared to dipole. This has important implications for the process window of the Litho1 target. Nevertheless, excellent CDU results are obtained for all three processes. LWR is shown to be high, which can be mainly attributed to the illumination setting and is not inherent to the materials. Finally a demonstration of the printing feasibility of turns and stitching in selected logic and DRAM structures is given. The poly-Si etch capabilities of the alternative processes is also shown.
    Download PDF (752K)
  • H. Yaguchi, Dennis Shu-Hao Hsu, D. Maruyama, Y. Sakaida, S. Sassa, R. ...
    2010 Volume 23 Issue 2 Pages 193-198
    Published: June 22, 2010
    Released on J-STAGE: August 05, 2010
    JOURNAL FREE ACCESS
    Sidewall process has been proposed as one of the acceptable self aligned double patterning technologies (SADPT). In this study, carbon rich spin-on sidewall (SoS) materials have been investigated. And the possibility of applying them in novel double patterning process has been explored. This process has some merits in terms of cost reduction and throughput compared with CVD sidewall process. And it is easy to apply this material for conventional litho film stacks. However, how to control critical dimension has been one of the key tasks to be dissolved. And, several issues including etch resistance and solubility must be addressed. Thus, carbon rich polymer having alkali soluble unit and cross-linking unit was chosen for this purpose. The sidewall width is controlled by the cross-link reaction using a concept similar to negative-tone photoresist. The driving force of cross-linking reaction is acid diffusion from the resist. As a result, it was confirmed that SoS pattern was formed and the critical dimension of the sidewall can be controlled by adjusting the amount of acid amplifier. By using SoS materials we successfully converted 1:3 L/S pattern to dense pattern.
    Download PDF (1224K)
  • Koji Ito, Michihiro Mita, Goji Wakamatsu, Yusuke Anno, Tomohisa Fujisa ...
    2010 Volume 23 Issue 2 Pages 199-204
    Published: June 22, 2010
    Released on J-STAGE: August 05, 2010
    JOURNAL FREE ACCESS
    Double patterning is one of the most promising techniques for sub-30nm half pitch device manufacturing. Several techniques such as dual-trench (litho-etch-litho-etch: LELE) and dual-line (litho-litho-etch : LLE) have been reported. Between them, the dual-line process attracts a great deal of attention due to its higher throughput. The key issue in the dual-line process is preventing damage of the first resist pattern during the second lithography process. As a solution, we have developed a process to alleviate this issue using a chemical material called "freezing agent." More recently, we have further simplified the process by developing a simple freezing technique called "self-freezing". The "self-freezing resist" material can accomplish the freezing process by applying only one bake to the resulting first pattern. In addition, our self-freezing resist also has added water shedding properties to meet non-topcoat (non-TC) immersion resist requirements, which further simplifies the process and materials. In this study, imaging results of Non-TC self-freezing resist including critical dimension uniformity (CDU), defectivity and processing properties of the resulting patterns is shown.
    Download PDF (531K)
  • Jiro Yokoya, Tsuyoshi Nakamura, Masaru Takeshita, Yasuhiro Yoshii, Hir ...
    2010 Volume 23 Issue 2 Pages 205-209
    Published: June 22, 2010
    Released on J-STAGE: August 05, 2010
    JOURNAL FREE ACCESS
    Pattern collapse becomes one of the serious issues for critical patterning process below 45nm half pitch. Many reports have been published in recent days to investigate pattern collapse mechanism. There are factors such as capillary force, hardness, swelling, and pattern profile that can cause pattern collapse.
    On the other hand, Litho-Litho-Etch (LLE) without freezing process has been recognized as a promising candidate because of its cost effectiveness among double patterning processes. The materials using 2nd resist formulation is totally different from the one on 1st resist because it is necessary that 2nd resist solution doesn't dissolve 1st resist during 2nd litho process. Therefore, pattern collapse mitigation on freezing free LLE process can pose considerable challenges.
    In this report, the improvement of pattern collapse on 2nd resist will be discussed by focusing on the surface free energy and the swelling during its development process.
    Download PDF (768K)
  • Seikho Kang, Mitchael Reilly, Thomas Penniman, Rosemary Bell, Ken Spiz ...
    2010 Volume 23 Issue 2 Pages 211-215
    Published: June 22, 2010
    Released on J-STAGE: August 05, 2010
    JOURNAL FREE ACCESS
    Contact hole patterning for 22nm node and beyond has become much more challenging. Since extreme ultraviolet lithography (EUV) is not yet ready, double patterning (DP) technology seems to be the most likely solution to achieve minimum half pitch of 40nm and below using immersion ArF lithography. The negative tone development (NTD) technique having excellent image contrast has received considerable attention as a possible alternative because it doesn't have the cost and throughput concerns that double patterning has. In this paper, we describe simulation and lithographic performance comparing single exposure (SE) negative tone development (NTD) technique with single exposure (SE) positive tone development (PTD) technique in terms of resolution through pitch.
    Download PDF (1165K)
  • Kazuyuki Enomoto, Yasunari Maekawa, Sachiko Konno, Masakazu Iwasaki, T ...
    2010 Volume 23 Issue 2 Pages 217-224
    Published: June 22, 2010
    Released on J-STAGE: August 05, 2010
    JOURNAL FREE ACCESS
    Reversible color imaging on polymer films with a spiropyran (SP-NO2) or a diarylethene (DE) has been examined by electron-beam (EB) induced chromism combined with a photo- or thermal reverse reaction. Colorless SP-NO2 in a poly(methyl methacrylate) (PMMA) film transformed into red-colored zwitterionic merocyanine (MC-NO2), which subsequently converted to the original SP-NO2 via thermal treatment. After six time repetition of the reaction cycle, the absorption intensity of MC-NO2 decreased to 56% of the initial level. The decrease was attributed to the formation of a protonated MC-form (MC-H+) via the reaction of MC-NO2 with an acid generated by EB-radiolysis of PMMA. Contrary to thermal treatment in the polymer films containing SP-NO2, a photo reverse reaction could be applied for EB-induced color imaging using DE in the polymer films, where the thermally stable colored close-form of DE (DECl) obtained by an EB-induced reaction can be converted to the original colorless open-form (DEOP) via photoreaction. The matrix effect of poly(BFP-alt-DOX) was observed with good efficiency of color formation and higher fatigue resistance of DE than those in PSt and PMMA matrices. The color imaging of these films was performed by electron beam direct writing to form 100-1000 nm square and line/space (L/S) patterns. The color images of 5 μm square patterns were produced in the PMMA film containing SP-NO2 with a dose of 100 μC cm-2; the images could be faded upon the thermal treatment. The poly(BFP-alt-DOX) film containing DE yielded clear color imaging of 100 nm L/S patterns with a dose of 200 μC cm-2. Subsequently, the patterns bleached upon the irradiation of visible light of > 430 nm. It is noted that these reactions are the first example for reversible transformation of color imaging induced by EB radiation together with heat or light treatment.
    Download PDF (775K)
  • Ninado Shinde, Yusuke Takano, John Sagan, Victor Monreal, Tatsuro Naga ...
    2010 Volume 23 Issue 2 Pages 225-230
    Published: June 22, 2010
    Released on J-STAGE: August 05, 2010
    JOURNAL FREE ACCESS
    Perhydropolysilazane (PHPS) is widely used as high cure temperature pre-ceramic polymer. In this paper, we report the conversion of PHPS films into solvent insoluble amorphous-silicon-nitride at room temperature in an inert atmosphere by vacuum ultra-violet (VUV) exposure. The films were deposited using spin-coating and pre-baked at 80°C for 3 mins before VUV exposure. The FT-IR spectra show an increase in Si-N bonds, a large reduction in Si-H content and complete elimination of N-H on VUV exposure. The refractive index (RI) ∼2.1 and extinction coefficient (k) ∼0.45 at 193nm were calculated using Cauchy fitting of spectroscopic ellipsometry (SE) measurements. The extinction coefficient exhibits a linearly dependence on VUV exposure time, suggestive VUV exposure time can be used a parameter to control the optical parameters of the films. These films could be used as inorganic-BARC (bottom anti-reflection coating) for ArF photolithography and the results are in accordance with the ProLith simulations showing that film of thickness ∼22nm corresponding to the 1st minima of the swing curve is sufficient for pattern generation.
    Download PDF (661K)
  • Satoshi Tsukuda, Atsushi Asano, Masaki Sugimoto, Akira Idesaki, Shu Se ...
    2010 Volume 23 Issue 2 Pages 231-234
    Published: June 22, 2010
    Released on J-STAGE: August 05, 2010
    JOURNAL FREE ACCESS
    Download PDF (514K)
  • Fumiaki Kodera, Yasushi Matsuzawa, Kunihiko Okano, Takashi Yamashita
    2010 Volume 23 Issue 2 Pages 235-240
    Published: June 22, 2010
    Released on J-STAGE: August 05, 2010
    JOURNAL FREE ACCESS
    Polyimides have excellent properties such as thermo stability, mechanical strength, and chemical stability, which are widely used as the materials for microelectronics and aerospace. We discover the new process of porous structure patterning and surface relief patterning. Porous structure patterning of polyimide is based on poly (amide acid) and photo acid generator (PAG, NAI100). Photo irradiation of the PAA in the presence of PAG induce surface relief grating after thermal imidization. The tone can be controlled using PAG or PBG. The mechanism of the pattern formation is based on the change in imidization temperature by the PAG or PBG.
    Download PDF (698K)
  • Toshihiko Kato, Kunihiko Okano, Takashi Yamashita
    2010 Volume 23 Issue 2 Pages 241-244
    Published: June 22, 2010
    Released on J-STAGE: August 05, 2010
    JOURNAL FREE ACCESS
    Free volume in polymer matrices has been revealed with a photo-reactive probe technique by using various photochromic compounds and polymer matrices. The effect of photoreactions of diarylethene derivatives in methyl methacrylate polymer was determined in terms of inhomogeneous distribution of solid state photochromic reaction. The quantum yield of the photoisomerization from open-ring isomer to close-ring one, and the backward reaction were determined by the change in absorption during isomerization. The forward reaction is inhomogeneous due to conformational distribution of diarylethene.
    Download PDF (649K)
  • Yuki Nitta, Keishi Okamoto, Tatsuya Nakatani, Akira Mochizuki
    2010 Volume 23 Issue 2 Pages 245-250
    Published: June 22, 2010
    Released on J-STAGE: August 05, 2010
    JOURNAL FREE ACCESS
    Coronary Stent has been used for the revascularization of occluded coronary artery, but bare metal stent often caused restenosis by thrombosis attached on stent or the abnormal proliferation of smooth muscle cells. To decrease the restenosis, the coat of diamond-like carbon (DLC) thin film on the stent has been expected. DLC film has been known as one of biocompatible materials for medical devices because of its chemical stability. The application of DLC films on a coronary stent is specifically required and the improvement of the plasma processing is required for the preparation of more excellent bio-compatible DLC films. Our knowledge and experience bring about an innovative bio-compatible DLC film, which is realized through the precise control of plasma processing. The newly developed biomimetic DLC film will be applied to metalic medical devices as one of the best coating.
    Download PDF (696K)
  • Jun Hatakeyama, Masaki Ohashi, Youichi Ohsawa, Kazuhiro Katayama, Yosh ...
    2010 Volume 23 Issue 2 Pages 251-258
    Published: June 22, 2010
    Released on J-STAGE: August 05, 2010
    JOURNAL FREE ACCESS
    We propose novel inactivation technologies which improve resolution. Base generators have been developed, which inactivate acid by thermal treatment or exposure. This thermal inactivation technology realizes simple litho-inactivation-litho-etch (LILE) process with good fidelity. After 1st patterning, acid is inactivated by amine released from the thermal base generator under low temperature baking of less than 150°C. Just adding one simple low temperature bake process, LILE has two advantages; i) keeping high throughput, and ii) avoidance of pattern deformation. 32nm line and space (l&s) pattern is successfully delineated by pitch split double patterning. The inactivation technology has been expanded to frequency doubling. Photo base generator (PBG) is used to inactivate acid generated by exposure. Acid concentration in both of low and high exposed area is precisely controlled by base generation efficiency of PBG. The dual tone resist, which has positive tone dissolution property at low dose region and negative tone at high dose, splits a line in two and successfully delineates 32.5nm l&s pattern using 65nm l&s mask with single exposure.
    Download PDF (1237K)
  • Youngsoo Yang, Jun Ho Lee, Tae Ho Kim, Seung Jib Choi, Sang Jun Choi, ...
    2010 Volume 23 Issue 2 Pages 259-264
    Published: June 22, 2010
    Released on J-STAGE: August 05, 2010
    JOURNAL FREE ACCESS
    Our recent progress on the development of ArF photoresist (PR) for implant layers is reported. Since scum-free patterning is the critical property of an implant PR, a specific focus has been on eliminating scum while maintaining other lithographic performances. Through the optimization of formulation with respect to k value and the use of novel monomers with less bulky substituents, a poly-methacrylate implant PR with minimal scum was obtained. Finally, ion-stopping ability of the prepared PR was tested via secondary ion mass spectrometry (SIMS) and was found to be sufficient for implant layer applications.
    Download PDF (880K)
  • Koichi Nagase, Masahiko Kawashima, Masaru Nakagawa
    2010 Volume 23 Issue 2 Pages 265-268
    Published: June 22, 2010
    Released on J-STAGE: August 05, 2010
    JOURNAL FREE ACCESS
    We demonstrated that thin porous Au films showing semi-transparency in the region of visible light and electric conductivity were prepared by spincoating an ?-terpineol ink containing decanethiol-passivated Au nanoparticles onto silica and silicon substrates modified with 3-mercaptopropyltriethoxysilane and subsequent annealing for sintering the Au nanoparticles. A thin film of the ?-terpineol ink was transformed to droplets on unmodified silica and silicon substrates by dewetting after spincoating, while it was changed to a thin porous film on the modified substrates by suppressing a progress of dewetting. The porous structure remained after sintering at 300 °C, resulting in the formation of semitransparent and conductive thin porous Au films with a transmittance of about 40 % in the visible light region and a surface resistivity of 10-2 - 10-3&Omeg;/square. We also demonstrated that the thin porous Au film was available as a wet etching mask for silicon microprocessing using a hydrogen fluoride (HF) aqueous solution.
    Download PDF (432K)
  • Tsutomu Miyasaka, Masashi Ikegami
    2010 Volume 23 Issue 2 Pages 269-277
    Published: June 22, 2010
    Released on J-STAGE: August 05, 2010
    JOURNAL FREE ACCESS
    Material engineering for fabrication of flexible dye-sensitized solar cells using plastic film substrates and printable materials are reviewed. Electrochemically active mesoporous layers are prepared both on the working photo-electrode (nanocrystalline TiO2) and counterelectrode (catalyst) by low-temperature coating of printable pastes containing nano-particles. Polymer conductive materials are successfully used as cathode catalysts loaded on the conterelectrode and as carrier transport-rectifying materials for use in solidification of electrolyte in combination with carbon materials. Performances of plastic solar cells are discussed with respects to the structure of the mesoporous films, light-harvesting functions of dyes, and design of printed counterelectrode. Commercial advantages of the lightweight, flexible cell in power generation are also introduced based on proof-of-concept tests with large-area integrated modules.
    Download PDF (610K)
  • Akinori Konno, E. V. A. Premalal
    2010 Volume 23 Issue 2 Pages 279-282
    Published: June 22, 2010
    Released on J-STAGE: August 05, 2010
    JOURNAL FREE ACCESS
    The DSSSCs sensitized with indoline dyes afforded fairly good performances. The organic dyes having indoline or coumarine moiety sensitized DSSSC produce overall energy conversion efficiency of 3.6% or 2.0% respectively. The indoline dye (D-149) sensitized DSSSC efficiency is higher than that of the cells of similar configuration sensitized with N3 dye. Another improvement was achieved by using the screen-printed TiO2 electrode which have larger pore-size than conventional TiO2 electrode (18NR-TiO2). Filling the TiO2 pore with CuI could be improved in the case of TiO2 film with larger pore size which could becontrolled by the addition of PEG to TiO2 paste. 18NR-TiO2/D-149 dye/CuI solar cell efficiency (1.14 %) was appreciably lower than the case of P25 based TiO2 film (2.95 % for 2 wt% PEG, 3.75 % for 5wt% PEG). These results suggested the porosity of TiO2 film is crucial factor.
    Download PDF (1156K)
  • Takaya Kubo, Kenta Akitsu, Satoshi Uchida, Hiroshio Segawa, Naoki Otan ...
    2010 Volume 23 Issue 2 Pages 283-286
    Published: June 22, 2010
    Released on J-STAGE: August 05, 2010
    JOURNAL FREE ACCESS
    Download PDF (679K)
  • Fumihiko Hirose, Masaya Shikaku, Koei Kuribayashi, Takahiko Suzuki
    2010 Volume 23 Issue 2 Pages 287-291
    Published: June 22, 2010
    Released on J-STAGE: August 05, 2010
    JOURNAL FREE ACCESS
    High density adsorption methods of N719 on TiO2 electrodes with intentional hydroxylation were examined for achieving higher power conversion efficiency in dye sensitized solar cells. The IR absorption spectroscopy indicated that both UV and water vapor treatments for the hydroxylation were effective in generating OH sites on TiO for the high density adsorption of N719. In the water vapor treatment, setting the TiO2 temperature around 190 °C is necessary to generate OH sites effectively on the surface. Both the hydroxylation methods were effective in enhancing short circuit current density in dye sensitized solar cells, where the increase was confirmed 23% compared to those without the treatments.
    Download PDF (687K)
  • Daisuke Kitazawa, Nobuhiro Watanabe, Shuhei Yamamoto, Jun Tsukamoto
    2010 Volume 23 Issue 2 Pages 293-296
    Published: June 22, 2010
    Released on J-STAGE: August 05, 2010
    JOURNAL FREE ACCESS
    Download PDF (683K)
  • Huiman Kang, Karl O. Stuen, Paul F. Nealey
    2010 Volume 23 Issue 2 Pages 297-299
    Published: June 22, 2010
    Released on J-STAGE: August 05, 2010
    JOURNAL FREE ACCESS
    Download PDF (489K)
feedback
Top